先进封装产业链前瞻

发布于: Android转发:0回复:0喜欢:4

先进封装行业深度:发展历程、竞争格局、市场空间、产业链及相关公司深度梳理

相关数据统计显示2024年,中国人工智能芯片市场规模预计将达到785亿元,未来或将保持较高增速。强大的AI芯片需要更加先进的制程工艺来实现。

由于芯片集成度逐渐接近物理极限,先进封装技术有望成为延续摩尔定律、发展先进AI芯片的有效路径之一。先进封装需求有望随着算力芯片的快速放量而迅速提升。

封装是半导体制造过程中重要环节,占封测部分价值的80~85%。

半导体封装是半导体制造工艺的后道工序,指将制作好的半导体器件放入具有支持、保护的塑料、陶瓷或金属外壳中,并与外界驱动电路及其他电子元器件相连的过程。

封装是实现芯片功能、保障器件系统正常运行的关键环节之一,主要起到保护芯片、电气连接、机械连接和标准规格化等作用。

据Gartner的统计数据,封装环节的价值占整个半导体封测部分的80%~85%。

封装技术发展历程

封装技术发展至今共经历四个阶段,当前已进入先进封装时代。

第一阶段:通孔插装时代(20世纪70年代前)。以双列直插封装(DualIn-linePackage,DIP)为代表。

第二阶段:表面贴装时代(20世纪80年代后)。该阶段典型封装方式为扁平方形封装(Quad Flat Package,QFP)、无引脚芯片载体(Leadless Chip Carrier,LCC)、小外形封装(Small Outline Package,SOP)等 。

使用针栅阵列(Pin Grid Array,PGA)技术,用引线替代第一阶段的引脚,转变为向表面贴装型封装。第一、第二阶段均为传统封装。

第三阶段:面积阵列时代(20世纪90年代后)。该阶段兴起了球栅阵列(BallGridArray,BGA)、单芯片封装(Chip Scale Package,CSP)等先进封装技术。

第四阶段:先进封装时代(21世纪后)。封装技术不断发展,出现了倒装焊(FlipChip)、晶圆级封装(Wafer Level Package,WLP))、2.5D/3D封装等多种先进封装技术,从二维向三维、从封装元件向封装系统发展。

先进封装

先进封装本质是提升I/O密度,核心衡量指标为凸块间距与凸块密度。封装主要起到保护和电路连接的作用,分为传统封装和先进封装。

传统封装的电路连接主要依赖引线框架,先进封装的电路连接则主要通过凸块(bump)完成。先进封装内涵丰富,但本质为提升I/O密度,进而提升芯片性能。

衡量I/O密度最核心的指标为凸块间距(BumpPitch)和凸块密度(BumpDensity)。

根据IDTechEx定义,只有凸块间距小于100μm的封装才属于先进封装。

先进封装,更确切来说可以被称为异构集成,整个体系包含倒装焊(FlipChip)、晶圆级封装(WLP)、扇入/扇出、2.5D封装(Interposer)、3D封装(TSV)、混合键合、Chiplet等一系列技术与理念。

台积电的发展路线中,倒装>2.5D/3D>SoIC等技术路线的凸块间距不断缩小,凸块密度持续提升。

先进封装优势

相比传统封装,先进封装在功能和开发方面具有下述优势:

提高功能密度

在功能相同的情况下,先进封装可以减少空间占用,将更多的元件和功能集成到更小的空间内,提高芯片的功能密度。

缩短互连长度

在传统封装中,引线穿过外壳和引脚需要数十毫米甚至更长,导致延时和功耗问题。先进封装将互联长度从毫米级缩短至微米级,使得性能和功耗得以提升。

增加I/O数量

先进封装制造多层RDL、倒装芯片与晶片级封装相结合、添加硅通孔、优化引脚布局以及使用高密度连接器等方式,可以在有限的封装空间内增加I/O数量。

提高散热性能

先进封装通过优化封装结构,增加芯片与散热器之间的接触面积,使用导热性良好的材料,增加散热器的表面积及散热通道,改进芯片晶体管数量不断增加而面临的散热问题。

实现系统重构

电子系统的构建可以在芯片级和基板级进行,通过在封装内部实现系统级封装,可以更好地实现系统重构。

提高加工效率和设计效率

先进封装技术可以利用现有的晶圆制造设备,使封装设计与芯片设计同时进行,缩短设计和生产周期,降低成本。

四要素

Bumping、RDL、Wafer和TSV是先进封装的四要素,具备其中一种即为先进封装。

先进封装内涵丰富,相对传统封装,新增的底层工艺包括Bump(凸块),RDL(再布线层),Wafer(晶圆),TSV(硅通孔)四要素。

Bump用来取代传统封装中的引线键合,主要起界面电气互联和应力缓冲的作用,当前先进封装无一例外均使用了Bump工艺。

RDL起着XY平面电气延伸的作用,Interposer(中介层,以硅为主)也发挥相似作用,主要应用于晶圆级封装和2.5D/3D封装等技术。

Wafer作为集成电路的载体以及RDL和TSV的介质和载体,在2.5D封装中用于制作硅基板、在WLP晶圆级封装中用于承载晶圆。

TSV起着Z轴电气延伸的作用,是2.5D/3D封装技术实现的主要途径。从技术推出时间前后及先进性程度来看,排序为Bump、RDL、Wafer、TSV。

Bump(凸块)

该技术使用凸点(bump)代替传统引线,能够增加I/O触点密度,缩短传输距离。

不同于要求焊盘分布于芯片四周的引线键合技术,面分布的凸点阵列允许I/O触点分布于芯片中间,大幅提高空间利用率和触点密度;利用倒装技术(FlipClip)和凸点垂直连接各芯片,也比引线键合的电路距离更短。

凸块技术主要分为球栅阵列焊球(Ball-Grid-Array Solder Ball,BGAball,直径0.25-0.76mm);倒装凸点(Flip-Chip Solder Bump,FCBump),也被称为可控塌陷芯片焊点(Controlled Callapse Chip Connection solder joint,C4solderjoint,直径100-150μm);微凸点(microbump,直径可小至2μm)。

连接凸点时通常利用热压键合技术(Thermal Compressive Bonding)熔化焊球并使之冷却融合,并填入底部填充剂提高芯片机械性质。如今,微凸块的直径和间距仍在不断缩小。

混合键合技术(Hybrid Bonding)能够解决接点间距(Pitch)缩小时出现的问题,进一步提升接点密度、提升连接效率。

当接点间距微缩至10微米左右时,焊锡球尺寸过小,容易在加热熔化过程中完全反应变质,降低导电性能;植球回流过程中两相邻焊锡球容易碰触在一起,导致芯片失效。

混合键合技术通过将芯片或晶圆平面上抛光后凹陷的CuBump进行退火处理,使得Cu略微膨胀,两平面完全贴合,以无凸点(Bumpless)的方式缩减连接距离、提升接点密度、散热能力、信号传输准确度,从而降低能耗、提升效率。

相比微凸点,混合键合技术能使I/O引脚密度增加5-10倍。当下,混合键合技术主要用于晶圆级封装,在晶圆制造环节即设计铜触点连接两片晶圆,切割后成为一体化的封装模块。

台积电、三星、英特尔领衔发展混合键合技术。当前,台积电的SoIC技术、三星的X-Cube技术、英特尔的FoverosDirect技术均运用了铜对铜直接键合的方式。

使用SoIC的AMD锐龙75800X3D游戏台式处理器和锐龙7000X3D卓越游戏处理器率先实现量产。

RDL(再布线层)

重布线层技术(RDL)。芯片的I/O触点通常分布在边缘或四周,直接进行封装会因缺少引线或引线过于密集而导致连接受限。

RDL技术能够将裸片的触点重新布局到空间较为宽松的芯片中间,并使得接口处凸点面积更大、数量更多。当下的RDL技术能够将线距缩小至1-10μm的范围。

RDL技术使芯片在封装后支持更多的引脚,以增加芯片的算力、芯片间的连接。该优势广泛体现在晶圆级封装(Wafer Level Package)中。

晶圆级封装主要分为扇入型晶圆级封装(Fan-inWLP)和扇出型晶圆级封装(Fan-outWLP),扇入型晶圆级封装利用RDL在芯片原有区域增加了触点,扇出型晶圆级封装则使用环氧塑封材料适当拓展芯片面积,同时利用RDL进行触点的二维延伸。

RDL技术能够代替中介层,从而缩小连接距离,提升传输速率。该技术能够在垂直堆叠封装时直接连接芯片和基板,为封装系统缩小减薄,提高集成度。

台积电的InFO(Integrated Fan-out)系列封装技术即体现了该优势。与传统的垂直堆叠先进封装技术(如PoP等)不同,InFO没有使用硅中介层。

在最底层逻辑芯片上进行了扇出塑封,并利用RDL技术在塑封区域布局上下连通的电路,以连接上层芯片和基板。

该连接方式被称为TIV(Through-InFO-Via)。InFO首用于iPhone7,并助力台积电收获苹果A10芯片的全部订单。

Wafer(晶圆)

晶圆是芯片工艺实现的载体,用途广泛,逐渐向更大尺寸发展。晶圆是集成电路的载体,在晶圆上可以进行光刻、刻蚀、气相沉积、离子注入、研磨等多种处理工序,最终制成集成电路芯片。

早先晶圆尺寸为6英寸到8英寸,现在普遍应用为12英寸,未来将广泛应用18英寸,晶圆正在向更大尺寸发展。

随着晶圆的尺寸变大,先进封装技术更先进,晶圆用途也更加广泛,可以作为芯片的制作基底,也可以在晶圆上制作硅基板实现2.5D封装,还可以在晶圆级封装中承载晶圆。

与传统封装是先切割晶圆再各自封装不同的是,晶圆级封装是先对整片晶圆进行封装再切割成小的芯片颗粒,封装面积与裸片一致,可以提高封装效率并降低封装成本。

晶圆级封装没有引线、键合和塑胶工艺,连接线路较短,可运用数组式连接,具有封装尺寸小、高传输速度、高密度连接、生产周期短等优点。

TSV(硅通孔)技术

为了缩小传输距离,人们使用堆叠芯片的方式进行封装。硅通孔技术通过将芯片的焊点打穿,并在通孔里填充金属材料(主要为铜),使芯片与芯片、芯片与基板实现垂直互连。

比起传统的平铺芯片或者引线互连堆叠芯片,利用TSV的先进封装能够大幅缩小连接距离、提升连接效率。

硅通孔技术是实现2.5D及3D封装的关键解决方案。台积电的CoWoS封装中采用了大量TSV技术,其传输的高速和可靠性使之成为了AI(如英伟达A100、H100,AMDMI300)等高性能芯片的主流选择。

发展现状

全球封测产业持续向好,封测产业已成为我国半导体的强势产业

随着物联网、5G通信、人工智能、大数据等新技术的不断成熟,全球集成电路行业进入新一轮的上升周期,全球封测市场规模稳步上升。

根据Yole和集微咨询统计,2022年全球封测市场规模达到815亿美元,未来仍然保持稳步上升趋势,预计2026年达到961亿美元规模。

随着近年来我国半导体产业的快速发展,为我国封装测试行业的发展提供了强劲动力。预计2023年中国封测市场规模达到2807亿元,未来保持上涨趋势,预计2026年市场规模增长至3248.4亿元。

传统封装基本由OSTA厂家完成,先进封装Fab厂商深度参与。传统封装IDM厂商较少涉足,大部分进行外包。

先进封装因引入bump、TSV、RDL、混合键合等工艺,需要光刻、刻蚀、薄膜沉积、CMP等前道工艺完成,故Fab厂商开始介入封装领域。Fab厂商与芯片设计厂家的联系也更加紧密。

当前台积电(Fab)、英特尔(IDM)、AMD(芯片设计)、三星(IDM)等开始主导先进封装产业的发展。

台积电是先进封装架构提出的先驱与主力,AMD为Chiplet先驱,传统封测厂与IDM厂商均有参与先进封装构架提出。

先进封装芯片设计研发厂商中,逻辑芯片厂商主要为英伟达AMD高通等。存储芯片厂商主要为海力士、三星和美光。

先进封装芯片代工厂商主要为Fab厂商台积电、海力士、美光,OSTA厂商日月光、安靠、长电先进以及IDM厂商Intel和三星。

如传统封装广泛应用于各大电子领域一般,先进封装应用也广泛。不过考虑到先进封装的成本,先进封装主要应用在HPC、手机、汽车等对技术要求更高的领域。

先进封装市场占比快速提升,未来有望超越传统封装

传统封装具有性价比高、产品通用性强、使用成本低、应用领域广的优点。高端消费电子、人工智能、数据中心等快速发展的应用领域大量依赖先进封装,先进封装的成长性要显著好于传统封装。

根据Yole和集微咨询数据,预计2023年全球先进封装市场占比为48.8%,2026年达到50.2%。中国先进封装市场占比较低,但仍有较大发展潜力,预计2023年中国先进封装市场占比将达到39%。

先进封装中倒装占比最大,2.5D/3D堆叠封装增长强劲

根据产品工艺复杂程度、封装形式、封装技术、封装产品所用材料是否处于行业前沿。

先进封装又细分为倒装芯片封装(Flip-Chip)、晶圆片级芯片规模封装(WLCSP)、2.5D/3D堆叠封装(2.5D/3Dstacking)、扇出型封装(Fan-out)和嵌入式基板封装(ED)技术。

根据Yole和集微咨询数据,各细分工艺中倒装芯片封装占比最大,2022年占比为76.7%。

先进封装市场规模总体呈现上升趋势,倒装芯片封装2020-2026年CAGR为6%,嵌入式基板封装占比较小,但CAGR最高,为25%。其次是2.5D/3D堆叠封装CAGR为24%,扇出型封装CAGR为15%。

竞争格局

台积电为全球先进封装龙头

从全球来看,台积电是先进封装的龙头,目前全球AI芯片龙头英伟达AMD最领先的AI芯片都采用了台积电的先进封装解决方案。

台积电推出的3DFabric,搭载了完备的3D硅堆栈(3DSiliconStacking)和先进的封装技术。

3DFabric是由台积电前端3D硅堆栈技术TSMCSoIC系统整合的芯片,由基板晶圆上封装(Chipon Waferon Substrate , CoWoS)与整合型扇出(Integrated Fan-Out,InFO)的后端3D导线连接技术所组成,能够为客户提供整合异质小芯片(Chiplet)的弹性解决方案。

该项技术先后被用于赛灵思的FPGA、英伟达的GPU以及AMD的CPU、GPU等产品。

Intel主导的2.5D封装技术为EMIB,使用多个嵌入式包含多个路由层的桥接芯片,同时内嵌至封装基板,达到高效和高密度的封装。

由于不再使用interposer作为中间介质,可以去掉原有连接至interposer所需要的TSV,以及由于interposer尺寸所带来的封装尺寸的限制,可以获得更好的灵活性和更高的集成度。

相较于MCM和CoWoS技术,EMIB技术获得更高的集成度和制造良率。英特尔对各种先进封装产品组合(如Foveros、EMIB和Co-EMIB)的投资是实施公司新领导层所公布的IDM2.0战略的关键。

三星也在积极投资先进的封装技术,以满足HPC应用在异质芯片整合的快速发展。2020年8月,三星公布了XCube3D封装技术。在芯片互连方面,使用成熟的硅通孔TSV工艺。

目前XCube能把SRAM芯片堆叠在三星生产的7nmEUV工艺的逻辑芯片上,在更易于扩展SRAM容量的同时也缩短了信号连接距离,提升了数据传输的速度。

此后发布的I-Cube可以将一个或多个逻辑die和多个HBMdie水平放置在硅中介层,进行异构集成。

日月光凭借在FOCoS先进封装技术的布局,是目前在封测代工厂中唯一拥有超高密度扇出解决方案的供应商。

日月光的FOCoS提供了一种用于实现小芯片集成的硅桥技术,称为FOCoSB(桥),它利用带有路由层的微小硅片作为小芯片之间的封装内互连,例如图形计算芯片(GPU)和高带宽内存(HBM)。

硅桥嵌入在扇出RDL层中,是一种可以不使用硅中介层的2.5D封装方案。与使用硅中介层的2.5D封装相比,FOCoS-B的优势在于只需要将两个小芯片连接在一起的区域使用硅片,可大幅降低成本。

中国大陆先进封装占比持续提高

2022年全球先进封装厂商主要以中国台湾、中国大陆、美国厂商为主。芯思想研究院(ChipInsights)发布2022年全球委外封测(OSAT)榜单。

榜单显示,2022年委外封测整体营收较2021年增长9.82%,达到3154亿元;其中前十强的营收达到2459亿元,较2021年增长10.44%。

根据总部所在地划分,前十大委外封测公司中,中国台湾有五家(日月光ASE、力成科技PTI、京元电子KYEC、南茂科技ChipMOS、颀邦Chipbond),市占率为39.36%,较2021年的40.58%减少1.22个百分点;

中国大陆有四家(长电科技JCET、通富微电TFMC、华天科技HUATIAN、智路封测),市占率为24.54%,较2021年23.53%增加1.01个百分点;美国一家(安靠Amkor),市占率为14.08%,相较2021年的13.44%增加0.64个百分点。

近年来,国内厂商先进封装技术快速发展,在全球的市场份额不断提高,中国大陆先进封装产值占全球比例也不断提升,由2016年的10.9%增长至2020年的14.8%。

随着我国封测行业的不断发展,预计我国先进封装产值占全球比重有望进一步提高,2022年达到16.8%。

国内先进封装厂中,长电科技通富微电华天科技甬矽电子、盛合精微等均有深入积累和布局,部分龙头公司在先进封装技术上与海外龙头技术水平已经比较接近。