先进封装:竞争格局、市场空间、产业链及相关公司深度梳理(二)(慧博出品)

发布于: 雪球转发:0回复:1喜欢:6

作者:慧博智能投研

1.上游:先进封装材料

先进封装材料是先进封装产业链核心上游。先进封装技术的发展离不开封装材料的支撑,包括生产封装基板的兴森科技崇达技术深南电路等厂商,生产包封材料的华海诚科凯华材料等厂商,以及生产芯片粘结材料等其他材料的联瑞新材等厂商。下游客户主要是长电科技通富微电华天科技等封测厂商。

先进封装材料市场结构以封装基板和包封材料为主。半导体封装材料可以细分为封装基板、引线框架、键合丝、包封材料、陶瓷封装材料、芯片粘结材料和其他封装材料。据SEMI统计,传统的封装材料市场结构中封装基板占比最高,为40%,其次为引线框架和键合线,占比均为15%,包封材料、陶瓷封装材料、芯片粘接材料和其他材料占比分别为13.0%、11.0%、4.0%和2.0%。先进封装一般不采用引线框架和引线键合的方式进行封装,因而对引线框架和键合丝的需求较小,以封装基板和包封材料为主。

除封装基板和包封材料外,区别于传统封装,先进封装过程中还需要用到的材料有:

1)底部填充料(Underfill):FC封装的关键材料,主要用于芯片与基板的连接,分散芯片表面承载应力,缓解芯片、焊料和基板三者热膨胀系数不匹配产生的内应力,保护焊球、提高芯片抗跌落与热循环可靠性等,产品需要具有很好的流动性、高可靠性、低热膨胀系数,对产品的配方及工艺要求极高。以环氧树脂为主,添加球型硅微粉、固化剂等进行填充。

2)聚酰亚胺:在WLP封装过程中,RDL和晶圆表面的钝化层中介质通常需要光敏绝缘材料来制造,传统聚酰亚胺(Polyimide,PI)需要配合光刻胶使用,采用PSPI工艺流程可大幅简化,主流应用为光敏聚酰亚胺(Photo Sensitive Polyimide,PSPI)。

3)光刻胶:应用场景与PSPI相似,主要在光刻工艺中使用,除RDL外,在封装基板、中介转接板(Interposer)、TSV、Bumping中也有应用,与晶圆制造过程中使用的光刻胶不同,封装用光刻胶分辨率一般仅要求为微米级的厚胶、紫外光光源、436nm的g线与365nm的i线。

4)抛光液和抛光垫:先进封装工艺流程中,化学机械抛光(Chemical Mechanical Polishing,CMP)是TSV工艺中的关键流程,用到的主要材料为抛光液和抛光垫。TSV工艺中抛光液主要分为两大类:正面铜/阻挡层的抛光液和晶圆背面的抛光液。

5)靶材:先进封装工艺流程中,靶材主要用于Bumping工艺中凸点下金属层(Under Bumping Metal,UBM)及TSV工艺中电镀种子层的溅射,由于无法直接在绝缘体或硅材料上进行电镀,需要先进行种子层的溅射,一般种子层材料与电镀材料均为铜。由于铜和二氧化硅绝缘层两者之间粘附性较差,一般先沉积扩散阻挡层,采用钛及钛合金或钽及钽合金材料。

6)湿电子化学品:先进封装工艺中主要采用光刻胶剥离液作为晶圆清洗材料,在光将光刻胶剥离。此外,在TSV、Bumping等工艺流程中还对显影液、蚀刻液、清洗液等湿电子化学品需求量较大。

除封装基板和包封材料外,传统封装和先进封装过程中均需要用到的材料有:

1)芯片粘接材料(DieAttach):用于粘接芯片与基板的封装材料,在先进封装工艺中主要在芯片堆叠、多芯片粘接和FC芯片粘接等工艺中,芯片堆叠工艺中导电胶使用较多,20μm以下的芯片厚度情况下,一般使用DAF膜(Die Attach Film)粘接。DAF膜根据解胶方式的不同又有Non-UV膜(通常称之为蓝膜)和UV膜之分。

2)电镀液:目前传统封装中,电镀是主流金属化工艺之一。在先进封装工艺中,电镀主要用于Bumping、RDL和TSV工艺中。TSV工艺可采用电镀和CVD两种填充方式,由于先进封装孔径一般在5μm以上,因此适合大直径孔径的电镀是主流的TSV填充工艺。TSV工艺中采用的电镀材料主要是铜,Bumping过程中电镀材料主要是铜和锡银。

2.下游:应用领域

先进封装下游应用领域广泛。在国际半导体龙头厂商的研发下,目前主流的先进封装技术维度逐渐从2D提升至2.5D和3D,同时系统的功能密度也得到提升,在手机、5G、AI、可穿戴设备、高端服务器和高性能计算等领域得到了广泛应用,产品的价值量和技术壁垒相比于传统封装更高。

(1)先进封装应用领域广泛,需求增长迅速

先进封装相较于传统封装技术能更好地提升芯片性能和生产效率,其应用场景不断扩展。目前各种不同类型先进封装技术已广泛应用于人工智能(AI)、高性能运算(HPC)、5G、AR/VR等领域,占整体封测市场的比重也在不断提升。

(2)HPC、高端手机、高阶自动驾驶有望成为先进封装主要增长驱动

芯片下游应用广泛,先进封装由于其技术先进性与高昂的成本,目前优先应用于对性能要求高或对价格不敏感的高端领域。台积电是半导体芯片代工龙头,芯片制程行业领先,此外也是推动先进封装的先驱。台积电当前收入结构的拆分一定程度上可以表征先进封装的主要应用下游。2023年,台积电营收拆分来看以HPC(占比43%)、Smart Phone(占比38%)、loT(占比8%)、Automotive(占比6%)贡献为主。HPC受大模型训练的驱动,对于HBM等应用先进封装的存储需求快速攀升。高端手机(如苹果)以及正在陆续面世的AI手机对于使用先进封装的高阶芯片的需求量亦持续水涨船高。自动驾驶未来将向L4、L5等高阶方向发展,对于算力的需求会持续提升,有望为先进封装提供新增量。综合来看HPC、AI手机、高阶自动驾驶对芯片性能要求较高,未来将成为先进封装主要的需求驱动。

六、市场空间

1.预测2028年全球先进封装设备市场空间将达到172.1亿美元,22-28年CAGR为10%

根据Yole预测,全球封装市场规模将由2022年的938亿美元增至2028年的1354亿美元,其中先进封装占比将由47.2%稳步提升至58%。基于此我们提出下列假设:

1)全球先进封装资本开支/先进封装市场规模为31%。根据Yole的2022年先进封装营收前九大半导体厂商资本开支数据,用其先进封装资本开支之和/先进封装营收之和31%,作为先进封装资本开支占比。2)设备投入在资本开支中占比为70%。

2.预测2025年中国大陆先进封装设备市场空间将达到285.4亿元,21-25年CAGR为24.1%

设备国产化率仅10%(2021年)。根据Yole预测,中国大陆市场规模将由2021年的2660亿元增至2025年的3552亿元,其中先进封装占比将由37%稳步提升至41%。根据MIRDATABANK数据,2021年国内先进封装设备国产化率仅10%。基于此我们提出下列假设:

1)中国大陆先进封装资本开支/先进封装市场规模:根据Yole的2021年先进封装营收前九大半导体厂商资本开支数据,中国大陆先进封装企业资本开支之和/先进封装营收之和为17.5%,考虑到该值与全球的31%有较大差距,预测国内先进封装资本开支占比将会稳步提升。2)设备投入在资本开支中占比为70%。

3.先进封装所需新设备的市场空间

1)中国大陆先进封装资本开支/先进封装市场规模:根据Yole的2021年先进封装营收前九大半导体厂商资本开支数据,中国大陆先进封装企业资本开支之和/先进封装营收之和为17.5%,考虑到该值与全球的31%有较大差距,预测国内先进封装资本开支占比将会稳步提升。2)设备投入在资本开支中占比为70%。

全球光刻机市场规模平稳增长,呈现寡头垄断格局。先进封装新工艺中凸点制作、RDL制备以及TSV钻孔等步骤均涉及光刻机的使用,根据SEMI数据显示,2020年全球半光刻机市场规模达到约170.9亿美元。预测2023年全球光刻机市场规模将增至271.3亿美元,2024年增至295.7亿美元。光刻机市场呈现寡头垄断格局,前三供应商ASML、Canon、Nikon占据绝大多数市场份额,其中,ASML市场份额占比82.1%,Canon市场份额占比10.2%,Nikon市场份额占比7.7%。国内来看,涉足光刻机的包括上海微电子和芯碁微装(直写光刻机)。

刻蚀设备增长强劲,市场份额集中。刻蚀设备是的重要性仅次于光刻机,先进封装新工艺中RDL刻蚀去除多余UBM以及TSV钻孔等步骤也需要使用刻蚀设备。从市场规模来看,2013-2019年,刻蚀设备市场规模不断增长。2019年,全球刻蚀设备市场规模约为115亿元,2013-2019年市场规模平均增长率接近20%。预计未来刻蚀设备市场规模增长率会逐渐放缓,到2025年实现155亿美元。全球刻蚀设备市场呈现高度垄断格局,泛林半导体、东京电子、应用材料占据主要市场份额。泛林半导体、东京电子和应用材料刻蚀机领域整体市场份额约为90%,其中泛林半导体独占52%的市场份额,Nikon与Canon分别占据20%和19%的市场份额。国内厂商中,中微公司北方华创等企业在刻蚀机领域具有较强的竞争力,成为国内刻蚀机行业的领军企业。

薄膜沉积设备市场规模稳定增长,全球市场份额高度集中。先进封装工艺中凸点下金属化和TSV电镀前沉积种子层等步骤涉及薄膜沉积设备。薄膜沉积设备主要负责各个步骤当中的介质层与金属层的沉积,包括CVD(化学气相沉积)设备、PVD(物理气相沉积)设备/电镀设备和ALD(原子层沉积)设备。2017-2021年全球薄膜沉积设备市场规模由125亿美元增长至190亿美元,CAGR为11.04%。Gartner预测,2021-2025年全球薄膜沉积设备市场规模将以15.66%的年均复合增速增长,至2025年达340亿美元。从全球市场份额来看,薄膜沉积设备行业呈现出高度垄断的竞争局面,行业基本由应用材料、ASMI、泛林半导体、TEL等国际巨头垄断。2019年,ALD设备龙头TEL和ASM分别占据了31%和29%的市场份额,剩下40%的份额由其他厂商占据;而应用材料则基本垄断了PVD市场,占85%的比重,处于绝对龙头地位;在CVD市场中,应用材料全球占比约为30%,连同泛林半导体的21%和TEL的19%,三大厂商占据了全球70%的市场份额。国内薄膜沉积设备厂商主要有北方华创科技、拓荆科技微导纳米等,国产化替代空间大。

涂胶显影设备市场稳步增长,全球市场呈巨头垄断格局。先进封装工艺中凸点下金属化和RDL电路图形成等步骤会使用到涂胶显影设备。从市场规模来看,2019-2022年全球前道涂胶显影设备市场规模由17.85亿美元增长到25.12亿美元,CAGR为12.06%,后道设备亦存在一定增量。

华经产业研究院预测,2023年前道设备市场规模为24.76亿美元,整体保持稳定。全球涂胶显影设备市场高度集中,东京电子(TEL)一家独大。2019年东京电子市场分额约为87%,其他厂商包括迪恩士(DNS)、苏斯微(SUSS)、亿力鑫(ELS)等市场份额合计约13%。国内厂商中,芯源微是全国唯一一家前道涂胶显影设备供应商。

全球CMP设备市场规模迅速回升,呈现双头垄断格局。先进封装TSV技术以及混合键合中晶圆片抛光减薄工艺离不开CMP设备。2019-2020年受全球半导体行业景气度下降影响,全球CMP设备市场规模减小至17.67亿美元。2021年半导体行业迎来新一轮上行周期,拉动CMP市场规模快速回升至27.83亿美元。2022年CMP市场规模为27.78亿美元,整体保持稳定。全球CMP设备市场呈双头垄断格局,2019年前两大供应商应用材料和荏原Ebara市场份额分别为66.1%和28.3%,合计占比达94.4%,2017-2019年两家公司市场份额之和均超过90%。国内厂商主要有华海清科、晶亦精微等,其中华海清科相较国内其他厂商具有较大领先。华海清科28nm制程已实现成熟产业化应用,14nm制程工艺正处于验收阶段,但相较国外龙头最先进技术已达5nm制程工艺仍有较大差距。

七、相关公司

1.通富微电

通富微电是1997年成立、2007年上市的集成电路封装测试服务提供商,可以为全球客户提供设计仿真和封装测试一站式服务。20余年来,公司依靠内生和外延两种模式不断发展壮大自身实力。1)内生:2014-2015年,借助国家政策的东风,基于对全球半导体产业趋势的判断,先后在南通苏通园区、安徽合肥新建集成电路封测工厂;2017年,协同厦门海沧区政府建设厦门封测工厂;2020年,崇川厂房建成;2021年,新增第七个封测基地—通富通科。2)外延:2016年,通富微电联合国家集成电路产业投资基金斥资3.71亿美元收购AMD苏州及AMD槟城各85%股权。

公司股权结构清晰,石磊先生为公司董事长,通过华达微电子间接持有公司0.79%股份,其父石明达先生为公司创始人,也为公司名誉董事长及副董事长,通过南通华达微电子间接持有公司7.78%股份。石明达和石磊先生均享受国务院特殊津贴,分别为教授级高级工程师和高级工程师,产业经验丰富的领军者是公司持续健康发展的坚实保障。国家集成电路产业投资基金一期和二期分别持有公司11.93%和1.35%的股权,两期大基金共同持股侧面印证了公司的技术实力和发展潜力。

近年来,公司营业收入由2018年的72.23亿元持续攀升至2022年的214.29亿元,CAGR为31.24%,主要是由于公司与国际大厂AMD合作密切,且持续进行技术创新,产业转型战略较为成功。2023年前三季度,在全球半导体处于库存调整期和消费复苏不及预期的大背景下,公司业绩依旧保持稳定,同比增长3.84%至159.07亿元;主要是由于公司大客户发展势头强劲,且公司产品结构持续优化,客户愈发多元化。

从生产基地来看,通富超威苏州和通富超威槟城为公司贡献80%左右的营收,南通通富和合肥通富营收占比相对较小。通富超威苏州和通富超威槟城主要是凭借7nm、5nm、FCBGA、Chiplet等先进技术优势,不断强化与AMD等行业领先企业的深度合作,实现销售业绩稳步增长,从而成为公司主要营收贡献基地,且随着技术不断迭代,营收有望继续增长。

在境内外业务占比方面,公司境外业务占比较大,除2021年境外营收占比为68.25%外,2018-2022年境外营收占比均为70%以上,主要是由于公司与海外客户的合作持续深化。近年来,在国产替代的大背景下,公司抓住新能源、车载应用市场、本土显示芯片等成长契机,与国内客户加强合作,公司境内营收占比整体呈上升趋势。

公司持续研发创新,积极开展专利布局,快速切入先进封装领域。公司目前已经建成了融合2.5D、3D、MCM-Chiplet等先进封装技术的VISionS的先进封装平台及超大尺寸FCBGA研发平台;自建的2.5D/3D产线全线通线,1+4产品及4层/8层堆叠产品研发稳步推进;基于ChipLast工艺的Fan-out技术,实现5层RDL超大尺寸封装(65×65mm);超大多芯片FCBGAMCM技术,实现最高13颗芯片集成及100×100mm以上超大封装,精准卡位高端封测,具备技术竞争优势。

2023年上半年,公司实现了射频模组、通讯SOC芯片等产品大批量国产化生产;存储器产线和显示驱动产线稳步进入量产阶段;在功率半导体领域,公司已配合意法半导体等行业龙头完成了碳化硅模块(SiC)自动化产线的研发并实现了规模量产,再次印证了公司强大的技术实力。

封测行业具备开拓客户时间长,大规模量产后客户粘性强、极少更换封测供应商的特点,因此良好的客户关系是封测厂的竞争优势之一。通富微电以超前的意识,主动融入全球半导体产业链,先后从富士通、卡西欧、AMD获得了技术许可,得到了AMD、MTK、紫光展锐、卓胜微、ST、TI等多家头部企业的高度认可,客户资源覆盖国际巨头企业以及各个细分领域龙头企业,为公司稳定持续发展提供了有力保障。

通富微电进入半导体封测行业已20余年,是半导体封测产业变迁的实践者和参与者,在规模、技术能力、客户资源等方面接近国际先进水平。在全球前十大封测企业中,公司营收增速连续3年保持第一;根据芯思想研究院数据,2022年公司在全球前十大封测企业中市占率增幅第一,营收规模排名进阶,首次进入全球四强。随着公司产品业务结构的进一步调整,凭借7nm、5nm、FCBGA、Chiplet等先进技术优势,与行业领先企业深度合作的客户优势,预计公司的业绩和市占率都将持续提升。

2.长电科技

公司成立于1998年(前身成立于1972年),2003年上市,是国内首家半导体封测上市公司,2022年营收体量居封测行业全球第三。

股权结构:大基金和中芯国际为公司前二大股东,未来有望强化业务协同。目前公司公司无控股股东、无实际控制人,第一大、第二大股东分别为国家集成电路产业投资基金(简称“大基金”)和芯电半导体(中芯国际子公司,股权穿透后100%持股)。截至2023年一季度末,国家集成电路产业投资基金和芯电半导体分别持有公司13.31%、12.86%的股权。国家集成电路产业投资基金是国家为促进集成电路产业发展而设立的战略投资机构;芯电半导体为中芯国际全资子公司,与长电科技产业链上下游关系密切。我们认为,在先进封装前道化的行业趋势下,长电科技与中芯国际双方可以加强先进封装相关协同合作,提供一站式服务解决方案,增强长电科技较其他OSAT厂的差异化竞争优势,进而提升其市场地位,我们持续看好长电科技在国内先进封测的领先优势。

收入端:近几年公司加速从消费类市场向高性能封装技术和高附加值应用布局,带动业绩稳健成长。营收端,2018-2022年,公司营收分别为238.6/235.3/264.6/305.0/337.6亿元,近五年营收CAGR达9.1%。2022年公司在芯片封测行业景气度下行、终端客户需求疲软的背景下营业收入同比+10.69%,主要系公司灵活调整订单结构及产能布局,加速从消费电子类向市场需求快速增长的汽车电子、运算电子开拓所致,2022年公司汽车电子收入同比+85%,运算电子业务收入同比+46%。利润端,2019年公司整合内部资源,优化全球价值链,星科金朋经营业绩有所改进,亏损幅度大幅减少,整体业绩扭亏。受益于先进封装出货放量带来的盈利释放,公司自19年实现扭亏为盈后,归母净利润持续三年实现高速增长。2018-2022年公司归母净利润分别为-9.39/0.89/13.04/29.59/32.31亿元。据公司公告,2023年公司计划资本开支65亿元,产能扩充面向高性能、先进封装领域及加速XDFOI技术量产,先进封装占比超过80%,面向需求持续成长的高性能计算、存储、汽车及工业电子等相关领域占比超2/3,我们看好公司高价值量业务的成长性。

公司客户质量一流,海外业务营收占比超7成。公司客户覆盖包括A客户、三星、高通、华为、西部数据、海力士、德州仪器、ADI、英特尔博通、ST等全球头部厂商,据公司公开平台投资者交流回复,全球前二十大半导体公司中的85%已成为公司客户。2022年前五大客户营收占比达51.4%。2022年,公司海外业务营收占比为73.81%,近几年公司海外业务营收占比基本保持平稳,均在70%以上。在国外客户导入方面,韩国工厂于21年获得了多款欧美韩车载大客户的汽车产品模组合作开发项目,主要应用为智能座舱和ADAS;22年韩国工厂又与下游大客户达成了新能源汽车的芯片项目合作,并将用于该客户车载娱乐信息和ADAS辅助驾驶。

全资子公司长电微电子有望成为2.5D/3D高性能封装生产基地。长电微电子晶圆级微系统集成高端制造项目于2022年7月在江阴开工,建设按计划快速推进,项目新厂房于2023年6月21日完成封顶。项目一期计划于2024年初竣工并投入使用。该项目聚焦全球领先的2.5D/3D高密度晶圆级封装等高性能封装技术,面向全球客户对高性能、高算力芯片快速增长的市场需求,提供从封装协同设计到芯片成品生产的一站式服务。

公司先进技术覆盖面广,为国内先进封装领先厂商,目前资本开支亦主要聚焦先进封装业务。公司先进封装技术包括FC、TSV、SiP、2.5D/3D、晶圆级等产品,为国内先进封装最突出的厂商,产品聚焦5G通信类、高性能计算、消费类、物联网、汽车电子和工业等重要领域。公司在SiP方面大力布局,旗下多个厂均有相关业务,2.5D/3D封装亦为世界一流的水准,产品覆盖与日月光旗鼓相当。2022年公司推动技术开发5年规划,面向5G/6G射频高密度,超大规模高密度QFN封装,2.5D/3Dchiplet,高密度多叠加存储技术等先进技术开展前瞻性研发,公司2022年Capex为60亿元,其中70%投资先进封装,并重点聚焦5G、汽车电子、大数据存储等热门封装领域。据公司公告,2023年公司计划资本开支65亿元,产能扩充面向高性能、先进封装领域及加速XDFOI技术量产,先进封装占比超过80%,面向需求持续成长的高性能计算、存储、汽车及工业电子等相关领域占比超2/3,我们看好公司高价值量业务的成长性。此外,长电科技中芯国际合作紧密,中芯国际为长电科技股东之一,在需要前道工艺辅助的2.5D/3D封装技术,双方有望在半导体产品的制造和封测环节协同合作,增强长电科技较其他OSAT厂的差异化竞争优势,进而提升其市场地位,我们持续看好长电科技在国内先进封测的领先优势。

长电科技的2.5D/3D封装可以依结构分为封装等级、晶圆级等级、硅互连等级三大类,技术与日月光相近。其中,封装等级为需要基板和引线框架的封装,系列包含堆叠芯片封装Stacked Die(SD)、层叠封装PoP、封装内封装PiP;晶圆级等级为晶圆级封装,运用RDL重布线进行互连;硅互连尚在研发中。长电持续朝向类似台积电SoIC的3DIC发展。

3.甬矽电子

甬矽电子是一家从事集成电路封装和测试业务的公司,为下游IC设计企业提供一站式的集成电路封装与测试方案。采用Fabless模式的芯片设计公司完成芯片设计后,将版图交由晶圆代工厂制造晶圆,完工后交付给公司,公司根据客户提出的封装类型和参数要求进行封装和专业测试后将最终芯片成品交付给客户。

自2017年11月成立,甬矽电子一直聚焦集成电路封测业务中的先进封装领域,坚持自主研发和创新。公司全部产品均为中高端先进封装形式,封装的产品包括“高密度细间距凸点倒装产品(FC类产品)、系统级封装产品(SiP)、扁平无引脚封装产品(QFN/DFN)、微机电系统传感器(MEMS)”4大类别,下辖9种主要的封装形式,共计超过1900个量产品种。

目前,甬矽电子正在加速成长,成为国内独立封测厂商的第一梯队,公司在研发过程中表现出较为突出的技术优势和工艺先进性,迅速进入量产阶段并进入顶尖集成电路设计企业供应链。公司获得恒玄科技晶晨股份富瀚微、联发科、北京君正、鑫创科技、全志科技、汇顶科技、韦尔股份、唯捷创芯、深圳飞骧、翱捷科技、锐石创芯、昂瑞微、星宸科技等行业内知名设计公司的高度认可,成为其合格供应商。

甬矽电子管理团队资历较深,集成电路行业经验丰富,多人曾在日月光、长电科技等龙头企业任职。公司研发人员数量在逐渐增加,同时核心技术人员有丰富的业内研发经验,在公司的重要技术创新和专利研发上成绩斐然。

甬矽电子从成立之初便聚焦集成电路封测业务中的先进封装领域,其全部产品为QFN/DFN、WB-LGA、WB-BGA、Hybrid-BGA、FC-LGA等中高端先进封装形式,并在SiP、FC、QFN/DFN等先进封装领域具有较为突出的工艺优势和技术上的先进性,公司并无DIP、QFP等传统封装业务。

对于未来的发展战略,甬矽电子在中高端先进封装技术领域里不断丰富升维。除了已掌握的EMIShielding、Bumping等技术,正积极开发7nm以下级别晶圆倒装封测工艺、高密度系统级封装技术、TSV以及2.5D/3D封装等,并持续向车规级、工控级和5G、物联网等应用市场扩张拓展。此外,在这个机械向电子转变的时代风口,甬矽电子也在加快速度进入汽车赛道,研究开发应用范围更广的汽车芯片。

4.其他相关公司

八、未来展望

1.AI浪潮推升先进封装需求

随着摩尔定律的放缓,先进制程的推进的成本越来越高,先进封装能以更加具有性价比的方式提高芯片集成度,提高芯片互联速度,实现更加高的带宽,已经得到了越来越广泛的应用。在高端消费电子、人工智能、服务器、汽车等领域,先进封装已经渗透进各个行业的终端应用中。

在AI领域,算力和功耗是AI芯片最关键的指标。随着摩尔定律的放缓,单纯依靠先进制程来提升算力性价比越来越低,先进封装发挥着越来越关键的作用。目前英伟达、amd的AI芯片均采用了台积电的Cowos先进封装,CoWoS是一种2.5D、3D的封装技术,可以分成CoW和WoS来看。Cowos先将芯片通过ChiponWafer(CoW)的封装制程连接至硅晶圆,再把CoW芯片与基板(Substrate)连接,整合成CoWoS。在硅中介层中,台积电使用微凸块(μBmps)、硅穿孔(TSV)等技术,代替传统引线键合用于裸片间连接,大大提高了互联密度以及数据传输带宽。

目前大部分AI芯片均采用HBM存储,HBM的高焊盘数和短迹线长度要求需要2.5D先进封装技术,因此目前几乎所有的HBM系统都封装在CoWoS上。

对于AI芯片厂商,Cowos不仅可以提高系统性能,还可以降低功耗、缩小封装尺寸,获得了AI芯片厂商广泛采用,英伟达的H100、AMD的MI300等热门AI芯片均采用了Cowos封装。

在人工智能、自动驾驶等算力需求暴涨的背景下,先进封装在提高芯片集成度、缩短芯片距离、加快芯片间电气连接速度以及性能优化的过程中扮演了越来越重要角色。

2.先进封装推升前道和后道设备需求共同成长,国产替代全方位推进

传统封装一般在封测厂完成,先进封装越来越向前道晶圆厂工艺渗透,先进封装技术主要包含倒装(FlipChip),凸块(Bumping),晶圆级封装(Wafer level package),2.5D封装(interposer,RDL等),3D封装(TSV)等封装技术,新的技术带来的新的设备和工艺需求。

九、参考研报

天风证券-专用设备行业半导体先进封装专题:枕戈待旦,蓄势待发!

中泰证券-机械行业先进封装之板级封装:产业扩张,重视设备机遇

中泰证券-电子行业AI系列之先进封装:后摩尔时代利器,AI+国产化紧缺赛道

国投证券-电子行业:AI浪潮推升先进封装需求,国产替代全面推进

银河证券-通富微电-002156-AMD产业链核心封测厂,先进封装多点开花

国泰君安-先进封装设备行业深度报告:AI拉动算力需求,先进封装乘势而起

东吴证券-电子行业深度报告:先进封装赋能AI计算,国内龙头加速布局

财通证券-封装材料行业深度报告:“后摩尔时代”,国产材料助力先进封装新机遇

东北证券-电子行业策略报告一:厚积薄发辟新径,光学与先进封装迎量变到质变元年

广发证券-半导体设备行业系列研究之二十四:算力驱动HBM需求,先进封装乘风而起

中信证券-新材料行业先进封装材料深度报告:技术、终端、客户合力驱动,先进封装材料国产替代加速

民生证券-甬矽电子-688362-深度报告:专注中高端先进封装,封测新锐志存高远

甬兴证券-电子行业人工智能系列专题报告(一):CoWoS技术引领先进封装,国内OSAT有望受益

华金证券-华天科技-002185-3DMatrix打造技术护城河,推进厂房建设持续扩大产业规模

免责声明:以上内容仅供学习交流,不构成投资建议。

慧博官网:网页链接

电话:400-806-1866

邮箱:hbzixun@126.com

以上相关研报原文可在“慧博智能策略终端”PC版或“慧博投资分析”APP中查看。

全部讨论

03-28 18:58

玻璃基载板不在其列?