供不应求!扩产!先进封装产业链随AI风口顺势杨帆(附股)

发布于: 雪球转发:0回复:0喜欢:7

风口情报:近日,台积电总裁魏哲家指出,AI芯片先进封装需求持续强劲,目前情况仍是产能无法因应客户强劲需求,供不应求状况可能延续到2025年。魏哲家表示,台积电今年持续扩充先进封装产能,今年先进封装产能规划倍增,仍是供不应求,预估2025年持续扩充产能。

风口解读:算力时代对堆叠封装需求提升,台积电英特尔、三星、SK海力士等海外大厂以及中国大陆的三大封测厂均布局2.5D/3D先进封装平台,以满足AI加速器和其他HPC芯片等高端市场快速增长的需求。有望带动先进封装设备、封装基板、封装材料需求大幅增长。(文末附相关上市公司及ETF梳理)

先进封装下游应用场景催化不断。随着5G、物联网、高性能运算、智能驾驶、AR/VR等前沿技术的飞速发展,对高端芯片的需求呈现出持续增长的态势。这些高端芯片的大量应用都依赖于先进封装技术,在此背景下先进封装的成长性显著优于传统封装,先进封装在整个半导体封测市场中的比重将持续上升。未来,随着终端应用的不断升级以及对芯片封装性能要求的提升,先进封装在AI、HPC、数据中心、CIS、MEMS传感器等领域也将迎来广阔的增量空间。

终端应用对先进封装的需求不断增加

资料来源:JWInsights,华安研究所整理

先进封装将成为AI等高性能计算场景中算力提升的关键。后摩尔时代,芯片晶体管密度提升接近极限,芯片尺寸放大也受到掩模版极限的限制,晶圆制造工艺对芯片算力的提升趋于放缓。长期以来,芯片封装技术的进步速度慢于晶圆制造技术,这导致芯片数据传输速率的提升低于芯片算力的提升,传输带宽已经成为限制芯片性能的瓶颈之一。因此各种2.5D、3D先进封装技术将成为AI等高性能计算场景中算力提升的关键。

2.5D/3D先进封装产能紧缺,龙头加大扩产力度台积电Cowos是2.5D封装领域代表性工艺。台积电董事长刘德音在2023年6月表示CoWoS产能供不应求。近日,台积电法人在报告中指出,由于CoWoS设备交期仍长达8个月,台积电11月通过整合扇出型封装(InFO)改机增加CoWoS月产能至1.5万片,预估明年台积电CoWoS年产能将倍增。展望明年CoWoS产能状况,法人预计,台积电明年CoWoS年产能将增加100%。

典型封装产品应用梳理

资料来源:电子发烧友,未来半导体,华安研究所整理

AI服务器需求加大驱动先进封装产能需求,国内厂商加码先进封装布局。根据TrendForce数据,微软Google亚马逊或中国企业百度等CSP(云端服务供应商)陆续采购高端AI服务器,大量投入训练及强化其AI模型,将推升AI芯片及高带宽内存芯片(HBM)的需求,并驱动先进封装产能2024年将成长30~40%。国内封测龙头巩固自身优势,加码先进封装技术布局,为人工智能带来新一轮应用需求增长做好准备。

相关上市公司梳理

芯碁微装WLP系列直写光刻设备用于12inch/8inch集成电路先进封装领域,包括FlipChip、FanInWLP、Fan-OutWLP和2.5D/3D等先进封装形式。在RDL、Bumping和TSV等制程工艺中优势明显。

北方华创:HSE200/300设备可用于 8-12 英寸先进封装硅刻蚀。Polaris T系列可用于晶圆级3D先进封装中的硅通孔阻挡层薄膜沉积。

盛美上海成功开发了先进封装电镀设备、三维 TSV 电镀设备和高速电镀设备,填补国内空白并形成批量销售。

长川科技国内测试机领军者,主营测试机、分选机、自动化设备及 AOI 光学检测设备等。

拓荆科技公司研制了应用于晶圆级三维集成领域的混合键合(Hybrid Bonding)设备产品系列,同时,该设备还能兼容熔融键合(Fusion Bonding)。公司成功研制了首台晶圆对晶圆键合产品Dione 300,并出货至客户端进行验证,取得了突破性进展。

芯源微在后道先进封装领域,公司作为行业龙头持续提升机台各项技术指标,全新的BHP盘体平衡压技术可应用于Chiplet等新兴先进封装领域,在更高工艺等级下实现了产品良率的提升。公司已成功研发临时键合机、解键合机产品,目前临时键合机正在进行客户端验证。

华海诚科公司的颗粒状环氧塑封料(GMC)可以用于HBM的封装,相关产品已通过客户验证,现处于送样阶段。相比于LMC(液态塑封料)GMC(颗粒状塑封料)有较大的成本优势,在先进封装领域有部分替代LMC的趋势。

长电科技公司XDFOI平台以2.5D无TSV为基本技术平台,并于2023年1月宣布,XDFOIChiplet高密度度多维异构集成系列工艺已按计划进入稳定量产阶段,基于利用有机重布线堆叠中介层可实现2D/2.5D/3D集成,并已实现国际客户4nm多芯片系统集成封装产品出货。

通富微电公司与AMD合作紧密,利用次微米级硅中介层以TSV将多芯片整合于单一封装,将持续5nm、4nm、3nm新品研发,计划2023年积极开展东南亚设厂布局计划,全力支持国际大客户高端进阶。

华天科技全资子公司华天江苏拟投资28.58亿元,进行“高密度高可靠性先进封测研发及产业化”项目的建设。项目建成投产后形成Bumping 84万片、WLCSP 48万片、超高密度扇出UHDFO 2.6万片的晶圆级集成电路年封测能力。

甬矽电子公司已经掌握了系统级封装电磁屏蔽(EMI Shielding)技术、芯片表面金属凸点(Bumping)技术,并积极开发Fan-in/Fan-out、2.5D/3D等晶圆级封装技术、高密度系统级封装技术、大尺寸FC-BGA封装技术等。

同兴达公司旗下昆山同兴达芯片封测项目已处于小规模量产期,同时也在积极开展相关先进封测技术的研究及储备。目前昆山同兴达与日月新半导体(昆山)合作的封测项目正在推进中,台积电CoWoS封测产能不足的部分订单已外溢日月光。

兴森科技应用于2.5D/3D封装工艺的封装基板主要为FCBGA基板,公司珠海FCBGA封装基板项目于2022年第四季度建成产线,并于2022年12月成功试产。

赛微电子拥有目前业界领先的TSV绝缘层工艺和制造平台,已研发出包括深反应离子刻蚀等在内的100余项MEMS核心国际专利,相关专利技术可以推广移植至2.5D和3D晶圆级先进集成封装平台,可以为实现功能化晶圆级封装和三维集成提供保障。

联瑞新材公司为国内无机填料和颗粒载体行业龙头。公司持续聚焦高端芯片(AI、5G、HPC 等)封装、异构集成先进封装(Chiplet、HBM等)、新一代高频高速覆铜板(M7、M8等)等下游应用领域的先进技术,并不断推出多种规格低 CUT点Low α 微米/亚微米球形硅微粉、球形氧化铝粉,高频高速覆铜板用低损耗/超低损耗球形硅微粉等功能性粉体材料。

壹石通公司为封装用球铝核心供应商。在芯片封装材料领域,公司主要产品包括 Low α 球形二氧化硅、Low α 球形氧化铝,可作为 EMC(环氧塑封料)和 GMC(颗粒状环氧塑封料)的功能填充材料。

雅克科技根据公司公告,雅克科技通过收购UP Chemical,成功跻身高端前驱体材料市场,深度绑定全球领先的储存芯片制造商海力士、三星电子。公司产品应用于AI服务器HBM3中堆叠的8或12个DRAM 裸片。

$同兴达(SZ002845)$ $芯片ETF(SZ159995)$ $北方华创(SZ002371)$

华金证券出品 研究作者:

杨树 投资顾问 执业证书编号:S0910619040002

张仔燕 投资顾问 执业证书编号:S0910621090001

网页链接{HarmonyOS NEXT即将走向台前,“纯血鸿蒙”蓄势待发(附股)}

谷歌+斯坦福联手打造人工智能机器人,“具身智能”迈入新篇章(附股)

17部门联合推动!数据要素市场驶入“快车道”(附股)

HBM需求爆棚!三星紧急订购设备扩产能!(附股)