半导体及半导体设备行业深度研究报告

发布于: 雪球转发:3回复:1喜欢:29

如需原文档,请登陆未来智库www.vzkoo.com,搜索下载。

一、半导体行业概况

1.半导体行业产业链

半导体指常温下导电性能介于导体与绝缘体之间的材料,是电子产品的核心。半导体行业商业模式从集成化向产业链垂直化分工演变,具有下游应用广、生产技术工序复杂、产品种类多样、技术更新快、投资高风险大等特点,并经历了两次空间上的产业转移。半导体行业经历了三个发展阶段,通常以4-6年为一周期,并且有加快的趋势,与宏观经济、下游应用需求以及自身产能库存等因素密切相关。

根据ICInsights分类,半导体按产品划分,可分为集成电路(IC)、分立器件(二极管、晶闸管、功率晶体管等)、光电器件(光传感器、图像传感器、激光发射器等)和传感器(压力传感器、温度传感器、磁场传感器等)。集成电路又分为数字电路和模拟电路。数字电路包含存储器(DRAM、Flash等)、逻辑电路(PLDs、门阵列、显示驱动器等)、微型元件(MPU、MCU、DSP)。模拟电路包含通用模拟电路(接口、能源管理、信号转换等)和特殊应用模拟电路。

全球半导体产业有两种商业模式,一种是IDM(IntegratedDeviceManufacture,集成器件制造)模式,另一种是垂直分工模式(FablessFoundries-Test)。IDM厂商的经营范围涵盖了设计、制造、封装测试等各环节,甚至延伸至下游电子终端,典型公司有Intel、TI、三星等。1987年台湾积体电路公司(TSMC)成立以前,只有IDM一种模式,此后,半导体产业的专业化分工成为一种趋势,典型公司有高通博通台积电等。出现垂直分工模式的根本原因是半导体制造业的规模经济性。现今IDM厂商仍然占据主要地位,主要是因为IDM企业具有资源的内部整合优势、技术优势以及较高的利润率。

半导体产业链包括芯片设计、芯片制造、封装测试等部分,其中下游涵盖各种不同行业。此外,为产业链提供服务支撑包括为芯片设计提供IP核及EDA设计工具公司、为制造封测环节提供设备材料支持的公司等。

2.半导体产业链工艺流程及设备

半导体产业链通常包含设计、制造、封装测试等环节,尤其是制造环节涉及溅镀、光刻、刻蚀、扩散等非常复杂工艺。另外,单晶硅片生产也涉及拉晶、切割、抛光等多种工艺才能制备出合适的单晶硅片。

半导体生产过程中,首先根据下游客户的需求对产品进行设计并制造出符合要求的光罩。制造中对根据光罩对单晶硅进行光刻、刻蚀等过程,制备所需要的电路。最后进入封装和测试环节,形成最终产品。

单晶硅片生产过程中不同工艺涉及不同设备,主要设备有晶体生长炉、内圆切割机、切磨一体机、刻蚀机、抛光机等,国内主要供应商包括晶盛机电北方华创、中微半导体等公司。

半导体制造工艺中涉及扩散、薄膜生长、光刻、刻蚀、离子注入、抛光等多种工艺,对应不同工艺、不同制程所需要的设备种类及数量也明显不同,典型设备包括氧化炉、PVD、CVD、光刻机、刻蚀机、离子注入设备、抛光、检测设备等。国内典型公司如北方华创、沈阳芯源、中微半导体、华海清科等公司,国外典型公司包括荷兰ASML、美国应用材料、日本尼康、美国泛林半导体、日本Tokki、韩国JuSung等。

二、投资逻辑:智能化带来新增长,技术发展及政策支持设备需求增长

1.投资逻辑一:智能化驱动新需求——数据时代引领发展,带动相关行业设备的需求

半导体行业随着新兴应用的不断出现,不断推动者半导体行业的向前发展,根据全球半导体贸易统计组织(WSTS)数据,半导体销售额从1999年的1494亿美元增长至2018年的4688亿美元,全球半导体市场规模每个7-8年增长1000亿美金。

全球半导体产业发展总体上可以划分为三个时代:1960s-1980s计算机时代,随着技术的发展,摩尔定律得到快速验证,使得计算机尺寸缩小,并能够广泛普及;1990s-2010s移动时代,笔记本电脑、智能手机等消费电子的大面积推广,使半导体工业进入了新的移动时代;2010s以后将进入数据时代,智能化是未来产业发展的方向,除了当前消费电子等,未来人工智能(AI)、5G移动通信、无人驾驶、物联网(IoT)等行业应用的发展,将产生大量数据,据SEMI数据,全球数据总量将从2017年1ZB暴增至2022年10ZB以上,将人类社会推向真正的智能化世界,真正形成万物互联,这其中将带来对半导体行业带来前所未有的新空间。

2018年各大机构队2019年半导体市场增速相对比较乐观,机构们普遍给予大于4%的预测。但是从2019年一季度全球半导体实际销售情况来看,2019年半导体行业下滑明显。虽然长期来看汽车电子、智能家居和物联网等领域的广泛爆发将为全球集成电路市场的增长创造了良好的需求环境,但是当前智能手机、计算机和消费类电子等仍是半导体主要应用方向,在连续两年的两位数高速增长后,结合全球经济增速回落和中美贸易摩擦加剧,根据WSTS在2019年2月预测,全球半导体市场将在2019年出现3%的回落。

2.投资逻辑二:技术进步驱动——摩尔定律驱使技术进步,新技术催生新设备需求

摩尔定律表示当价格不变时,集成电路上可容纳的元器件的数目,约每隔18-24个月便会增加一倍,性能也将提升一倍。摩尔定律驱使制造更快、更好、更廉价芯片,且要他们具有较低的计算功耗和优秀的功能。然而,2010年国际半导体技术发展路线图的更新增长已经放缓在2013年年底,之后的时间里晶体管数量密度预计只会每三年翻一番。

未来半导体技术将向着功能多样化和尺寸微型化两个方向共同发展。在近日的SFF(SamsungFoundryForum)美国分会上,三星表示将在2021年推出一款基于3nmGAA(gateallaround)工艺的产品。台积电也表示将在2021年Q1实现5nm量产。新工艺的应用将带来产品性能的大幅提升,同时带来对设备材料的巨大需求。同时,SIP(SystemInaPackage系统级封装)将实现功能多样化,大大提升半导体的应用场景。

半导体技术发展将带来制造设备支出的大幅提升。根据应用材料统计数据,NAND闪存技术从Planar发展到3D64层结构时,对制造设备支出需求将提升60%;DRAM从25nm工艺升级至14nm工艺时,制造设备支出将增加40%;晶元代工厂(Foundry)加工工艺从28nm升级至7nm时,制造设备支出将增加100%;显示领域从LCD向OLED转变,制造设备支出将增加425%。

从SEMI数据来看2016-2018年,全球200mm晶圆容量持续增长,且其中小于20nm工艺所需晶圆比例由2016年26.59%提升至2018年的35.10%。从各大IDM及晶圆代工厂的技术路线图可以看出,2019年英特尔将步入10nm工艺,三星将推出7nm制造工艺,台积电将量产第二代7nm工艺,而中芯国际将有望实现14nm工艺突破。技术工艺的不断突破将拉动对设备材料支出的持续需求。

3.投资逻辑三:我国政策驱动——政策驱动产业快速发展,提升半导体企业竞争力

集成电路行业属于国家鼓励发展的高技术产业和战略性新兴产业,受到国家政策的大力扶持。中国政府大力主导推动整体产业发展,先后颁布了《国家集成电路产业发展推进纲要》、《集成电路产业“十三五”发展规划》、《关于集成电路设计和软件产业企业所得税政策的公告》等政策。各地方政府为培育增长新动能,积极抢抓集成电路新一轮发展机遇,促进地区集成电路产业实现跨越式发展,也不断出台相关政策支持集成电路产业的发展。

2019年以来中美贸易摩擦的持续,美国政府持续打压华为公司,高通Qualcomm)、科沃(Qorvo)、美光科技(MicronTechnology)、西部数据(WesternDigital)等美国芯片企业,已经在美国政府的“禁令下”暂停向华为供货。华为事件更加突显在集成电路领域的自主可控的重要性,此次事件也使我们认识核心技术必须要掌握自己手里,必须要以“国产化替代”,实现自主安全可控,并促进我国在集成电路产业的大力投入与国内企业的发展。

截至2018年,国家集成电路产业投资基金一期已经基本投资完毕,据集微网大基金一期投资项目统计,投资分布主要集中在设计、制造、封测等领域。

《极大规模集成电路制造技术及成套工艺》项目,因次序排在国家重大专项所列16个重大专项第二位,在行业内被称为“02专项”。02专项目前涵盖了半导体制造设备的大部分领域,如光刻、刻蚀、薄膜、离子注入、检测等方面,同时国内有多家公司深度参与其中,北方华创项目涵盖刻蚀、薄膜等多领域,上海微电子已光刻机为主要方向,中微半导体主要负责介质刻蚀机的研发。随着项目的不断推进各种先进设备已经在现有半导体产线进行应用及验证,支撑我国半导体设备产业快速发展。

4.投资逻辑四:第三次产业转移——中国晶圆厂建设迎高峰,半导体设备需求明显

半导体产业于上世纪五十年代起源于美国,之后共经历了三次大规模产业转移。第一次产业转移起始于20世纪60年代,集成电路封装业(组装)首先由美国向日本转移。第二次产业转移发生在20世纪90年代,全球范围内开始了以互联网为核心的技术革命,日本的半导体优势地位被韩国取代。90年代后期,晶圆代工模式逐渐兴起,芯片设计与制造环节分离,以中国台湾为代表的晶圆代工厂改写了全球半导体产业制造模式。半导体行业经历两次产业转移后,目前正借助消费电子时代向中国转移。二十一世纪以来,我国由于具备劳动力成本等多方面的优势,正在承接第三次大规模的半导体产业转移。

根据全球半导体贸易统计组织(WSTS)统计显示,我国半导体市场呈现快速增长趋势,且中国半导体市场增速要高于全球半导体市场同比增速。2018年中国半导体销售额1578亿美元,占全球半导体销售额的33.86%,中国半导体销售额同比增长20.08%,显著高于全球的增速13.09%。

虽然我国半导体市场呈现快速增长趋势,但是中国自给率较低。根据ICInsights最新数据,2018年我国半导体自给率约15.4%,较2012年的11.9%虽有较大提升,但是仍然存在供给能力不足的问题,预计2023年我国自给率将达到23%,因此我国半导体市场进口替代存在较大市场空间。

根据ICInsights统计,2018年底,共有112家集成电路制造工厂使用的是12英寸晶圆(用于制造非IC产品的不计入统计)。2018年全年一共新开了7家12英寸晶圆厂,而2019年又将新增9家12英寸厂,这是继2007年以来一年内最多的一次,其中有5家位于中国。ICInsights预计,2020年还要新开6家12英寸晶圆厂,且今年和明年新开的这些工厂都将用于DRAM和NANDFlash或晶圆代工。根据SEMI数据预测,2019年中国设备支出将比2018年增长25%,韩国将会有16%的下滑。

2018年内有关中国晶圆生产线的项目共46个,总投资金额高达14000亿人民币。包含华虹半导体、紫光存储、福建晋华、上海积塔等公司多条12英寸在建产线。虽然12英寸产线是市场主流,但是8英寸产线仍然有较大需求,中国有上海积塔、海辰半导体、德科码等多家公司的新建8英寸产线,我国成为全球半导体资本支出的主要增长力量之一。

新建半导体晶圆厂产线周期从场地设计开始到最终投产大概在2年左右时间。新建产线中设备支持约占整个产线投资的80%,厂房建设约占20%,晶圆制造设备约占总投资的65%。

不同尺寸的晶圆厂产线对设备的需求也有明显不同,总的来看,越先进制程产线所需的设备数量越多。以光刻机为例,12寸晶圆面积为8寸晶圆的2.25倍,所以相同产能下所需光刻机台数也接近翻倍,通常12英寸1万片月产能的光刻机需求约为8台,8英寸1万片月产能约需要光刻机4台。12寸晶圆的产线通常可以按照制程划分为两类:成熟制程,包含45nm、65nm、90nm等制程;先进制程,包含22nm、14/16nm、10nm、7nm等。先进制程相比于成熟制程引入多重曝光技术,使工序数和设备数量大幅提高。

三、半导体设备市场国外占据主导,但国内在不同子领域取得突破

1.全球半导体设备市场稳定增长,国外公司占据市场主导地位

近年,在5G概念驱动,以云计算、医疗电子、汽车电子、安防电子等的新兴应用方向强劲需求的带动下,全球半导体产业恢复增长。据WSTS数据统计,从2013年到2018年,全球半导体市场规模已经从3056亿美元提升至4688亿美元,年均复合增长率达到8.93%,新一轮的半导体行业上升周期已至。其中,中国半导体销售额在全球市场规模中占比约在33%。

伴随半导体行业整体景气度的提升,半导体设备市场也呈增长趋势。根据国际半导体产业协会(SEMI)数据,全球半导体设备销售额从2013年的318亿美元增长至2018年的预估621亿美元,年均复合增长率约为14.33%。2015年半导体需求受到PC出货放缓、美元升值、日本经济萎缩、欧洲危机等影响,销售增速下滑,而后半导体设备市场重新恢复生机。

全球半导体设备市场呈现出高垄断的市场格局,主要由国外厂商主导。根据VLSIResearch统计,2018年全球半导体设备系统及服务销售额为811亿美元,排名前十五的半导体设备供应商中,北美、日本区域占据主导优势,中国仅有一家挤入榜单。而前五大半导体设备供应商,由于起步较早,凭借资金、技术、客户资源、品牌等方面的优势,占据了全球半导体设备市场65%的市场份额。

具体到按工艺划分的设备上,光刻机方面,阿斯麦公司具备垄断优势;刻蚀机与薄膜沉积设备方面,应用材料、东京电子和泛林半导体位列三强;检测设备方面,科天半导体占据龙头优势。

2.我国半导体设备市场增速快,国产替代市场空间大

据SEMI数据显示,2017年中国大陆半导体设备销售额位列全球第三,为82.3亿美元,同比增长27%,约占全球半导体设备销售额的15%,预计到2020年中国大陆半导体设备销售额将达170亿美元,占全球比例的20%。

具体分析我国半导体供给端,目前国产半导体集成电路市场规模较小,2018年自给率约为15%。根据海关总署的数据,仅半导体集成电路产品的进口额从2015年起已连续四年位列所有进口商品中的第一位,不断扩大的中国半导体市场规模严重依赖于进口,中国半导体产业自给率过低。根据中国电子专用设备工业协会的统计数据,2018年国产半导体设备销售额预计为109亿元,自给率约为13%。若仅计算国内集成电路设备自给率,则仅为5%左右。

反观需求端,中国目前是全球最大的电子产品生产及消费市场,根据ICInsights统计,从2013年到2018年仅中国半导体集成电路市场规模就从820亿美元扩大至1550亿美元,年均复合增长率约为13.58%。随着5G等新兴技术的进一步发展,中国的半导体器件消费仍将持续增加。根据SEMI统计数据,2018年半导体设备在中国大陆的销售额预计为128亿美元,同比增长56%,约占全球半导体设备市场的21%,已成为仅次于韩国的全球第二大半导体设备需求市场。

中国半导体设备市场面临着较大的需求缺口,进口依赖问题始终存在,受中美贸易摩擦影响,自主可控成为市场关注的重要方向,目前我国加大国产设备研发投入力度,国产设备未来成长空间充足。

3.半导体设备典型工艺与现状

半导体设备是半导体设计实现的关键步骤,但目前我国80%-90%的工艺设备依赖进口,国产设备仅占全球半导体产量的2%。半导体制造工艺复杂,所需的设备丰富,从流程分类,半导体设备主要可分为硅片生产过程设备、晶圆制造过程设备、封测过程设备等。这些设备分别对应硅片制造、集成电路制造、封装、测试等工序,分别用在集成电路生产工艺的不同工序里。

以集成电路各类设备销售额推算各类设备比例,在整个半导体设备市场中,晶圆制造设备为主体占比81%,封装设备占6%,测试设备占8%,其他设备占5%。而在晶圆制造设备中,光刻机、刻蚀机、薄膜沉积设备为核心设备,大约分别占晶圆制造环节设备成本的24%、24%、18%。

3.1制造过程设备——光刻机

半导体芯片在制作过程中需要经历材料制备、掩膜、光刻、刻蚀、清洗、掺杂、机械研磨等多个工序,其中以光刻流程最为关键,光刻机是半导体芯片制造中最精密复杂、难度最高、价格最昂贵的设备,是整个制造流程工艺先进程度的重要指标。光刻机按照用途分类,包括用于生产芯片的光刻机,用于封装的光刻机,用于LED制造领域的投影光刻机。目前用于生产芯片的光刻机是中国在半导体设备制造上最大的短板,国内晶圆厂所需的高端光刻机完全依赖进口。

光刻机用于刻出晶体管器件的结构和晶体管之间的连接通路。其加工芯片的过程,是通过一系列的光源能量、形状控制手段,将光束透射过画着线路图的掩模,经物镜补偿各种光学误差,将线路图成比例缩小后映射到硅片上,然后使用化学方法显影,得到刻在硅片上的电路图。一般的光刻工艺要经历硅片表面清洗烘干、涂底、旋涂光刻胶、软烘、对准曝光、后烘、显影、硬烘、激光刻蚀等工序。

光刻机的变迁历史悠长,主要发展方向为缩短曝光光源波长、提高数值孔径(NA)和改进曝光方式。目前市场最为广泛应用的是浸入式光刻机和EUV光刻机。EUV光刻机是最新的技术应用,其出现原因是随着制程不断微缩,在从32/28nm节点迈进22/20nm节点时,由于光刻精度不足,需使用二次曝光等技术来实现,设备与制作成本双双提高,摩尔定律失效,晶体管的单位成本首次出现不降反升。虽然EUV光刻机早已开始出货,但由于其成本昂贵且交期长,一般的公司难以采购,因此现在光刻机市场主要以193nmArF光刻机为主。

目前全球半导体制造流程用光刻机的生产厂商有3家,分别是阿斯麦尼康佳能,其中阿斯麦占有明显的垄断优势,一家独占约75%的市场;而尼康、佳能则分别享有11%与6%的市场分额。

在高端光刻机方面,阿斯麦占有84%的市场。据各公司财报数据,2011-2017年全球光刻机总出货1920台,阿斯麦出货1209台,占有63%的市场份额。其中,EUV光刻机上,仅阿斯麦一家独大,市场份额100%。2013年阿斯麦EUV光刻机研发成功,光源波长22nm,随着技术逐步推进,2017年采用最小13nmEUV作为光源,超短波长使7nm以下特征尺寸曝光得以实现,于全球占有绝对技术优势。在ArFi机台方面,2011-2017年全球出货612台,阿斯麦占有率超过88%;ArF机台方面,全球销售95台,阿斯麦占比52%。

2017年,阿斯麦的高端优势愈加明显,市场份额88%。2017年全球光刻机总出货294台,阿斯麦销售198台,市占率68%。EUV光刻机方面,阿斯麦占有率100%。在ArFi机台方面,阿斯麦市占率92%;ArF机台方面,阿斯麦市占率64%。2017年单台EUV机台平均售价超过1亿欧元,2018年一季度的售价更是接近1.2亿欧元。而尼康佳能的光刻机主要以i-line光刻机产出为主,仅集中于中低端市场。

目前我国面临着同样的困局,国产装备主要布局中低端,在其他光刻机设备上主要依赖进口。国内光刻机厂商主要为上海微电装备、中电科48所、中电科45研究所等。而中电科研究所虽然产出光刻机,但主要集中在离子注入机、CMP、ECD等设备上,光刻机竞争力较弱。上海微电装备的发展在国内最为领先,是我国唯一一家生产高端前道光刻机整机的公司,其目前可生产加工90nm工艺制程的光刻机,同时承担国家科技重大专项“极大规模集成电路制造装备与成套工艺专项”(02专项)的65nm光刻机研制,代表国产光刻机最高水平。但与阿斯麦7nm工艺制程EUV光刻机相比,仍存在非常大的差距。

3.2、制造过程设备——刻蚀机

刻蚀同样也是集成电路制造工艺中的重要流程,是与光刻相联系的图形化处理的一种主要工艺。刻蚀利用显影后的光刻胶图形作为掩模,在衬底上腐蚀掉一定深度的薄膜物质,随后得到与光刻胶图形相同的集成电路图形。

刻蚀技术按工艺分类可分为湿法刻蚀与干法刻蚀,其中湿法刻蚀又包括化学刻蚀与电解刻蚀,干法刻蚀包括离子铣刻蚀、等离子体刻蚀与反应离子刻蚀。干法刻蚀与湿法刻蚀各有优劣势,湿法刻蚀各向异性较差,侧壁容易产生横向刻蚀造成刻蚀偏差,通常用于工艺尺寸较大的应用,或用于干法刻蚀后清洗残留物等。干法刻蚀则是目前主流的刻蚀技术,其中以等离子体干法刻蚀为主导。

等离子体刻蚀机是一种大型真空的全自动的加工设备,一般由多个真空等离子体反应腔和主机传递系统构成。等离子体刻蚀设备的分类与刻蚀工艺密切相关,其原理是利用低温等离子体中处于激发态的游离基和化学性质活泼的中性原子团,与被刻蚀材料间发生化学反应。根据产生等离子体方法的不同,干法刻蚀主要分为电容性等离子体刻蚀和电感性等离子体刻蚀。电容性等离子体刻蚀主要是以高能离子在较硬的介质材料上,刻蚀高深宽比的深孔、深沟等微观结构;而电感性等离子体刻蚀主要是以较低的离子能量和极均匀的离子浓度刻蚀较软的和较薄的材料。这两种刻蚀设备涵盖了主要的刻蚀设备应用。

当前全球刻蚀机市场集中度较高,设备供应商主要有泛林半导体、东京电子、应用材料、日立先端、牛津仪器,五家企业已经可以实现7nm制程。而其中,泛林半导体利用利用其较低的设备成本和简单的设计,已经逐渐在65nm、45nm设备市场超过东京电子等企业,成为行业龙头。2017年数据显示,泛林半导体市场份额为55%,东京电子、应用材料市占率依次为20%与19%。

国内排名靠前的刻蚀机供应商屈指可数,主要为中微半导体、北方华创和金盛微纳科技,不断向高端制程上发力,提高市场份额。其中,中微半导体在介质刻蚀领域较强,其产品已在包括台积电、海力士、中芯国际等芯片生产商的20多条生产线上实现了量产;其16nm刻蚀机已经实现商用量产,7-10nm刻蚀机设备几乎可与世界前沿技术比肩;5nm等离子体蚀刻机已成功通过台积电验证,将用于全球首条5nm工艺生产线。北方华创在硅刻蚀和金属刻蚀领域较强,其55/65nm高密度等离子硅刻蚀机已进入中芯国际产线;28nm硅刻蚀机进入产业化阶段,14nm硅刻蚀机正在产线验证中;金属硬掩膜刻蚀机攻破28-14nm制程;深硅刻蚀设备也进入东南亚市场。

3.3、制造过程设备——薄膜沉积设备

薄膜沉积工艺,是一连串涉及原子的吸附、吸附原子在表面的扩散及在适当的位置下聚结,在晶圆上沉积一层待处理的薄膜的过程。薄膜制备包括沉积法与生长法,其中以沉积法最为常见,涵盖物理沉积(PVD)与化学沉积(CVD)。

PVD与CVD技术各有优缺,PVD通过加热源材料,使原子或分子从源材料表面逸出,从而在衬底上生长薄膜,包括真空蒸镀和溅射镀膜。真空蒸镀指在真空中,把蒸发料(金属)加热,使其原子或分子获得足够的能量,克服表面的束缚而蒸发到真空中成为蒸气,蒸气分子或原子飞行途中遇到基片,就淀积在基片上,形成薄膜。溅射镀膜则利用高能粒子(通常是由电场加速的正离子如Ar+)撞击固定表面,使表面离子(原子或分子)逸出。CVD单独的或综合地利用热能、等离子体放电、紫外光照射等形式,使气态物质在固体表面发生化学反应并在该表面上沉积,形成稳定固态薄膜。

PVD镀膜领域,在行业发展初期,镀膜设备以国外供应商为主。国外PVD镀膜材料厂商与镀膜设备厂商经过长时间磨合,匹配性表现优异,具有较强的先发优势。因此,长期以来全球PVD镀膜设备主要集中于美国、日本,产业集中度高。全球范围内,应用材料约占全球市场份额的85%,Evatec与真空技术则分别占比约6%和5%。

我国PVD镀膜设备厂商中,以北方华创为代表。北方华创在溅射源、等离子产生与控制技术、腔室设计与仿真模拟技术等多项关键技术领域取得了一定突破,获得了优秀的薄膜沉积工艺结果。公司成功开发的TiNHardmaskPVD、AlpadPVD、AlNPVD、TSVPVD等一系列磁控溅射PVD产品,实现了在集成电路、先进封装、半导体照明等领域的全面产品布局。其中应用于28nm/300mm晶圆生产的HardmaskPVD设备已成为国内主流芯片代工厂的Baseline设备,代表着国产集成电路工艺设备的最高水平,并成功进入国际供应链体系。

CVD镀膜领域,集中度同样较高,头部三家约占全球市场份额的70%以上,其中,应用材料占比30%,东京电子占比21%,泛林半导体占比20%。国内供应商方面,北方华创已经先后完成PECVD、APCVD、LPCVD、ALD等设备的开发,其自主开发的卧式PECVD已成功进入海外市场,为多家国际领先光伏制造厂提供解决方案。另外,中微半导体的MOCVD设备在国内已实现国产替代,沈阳拓荆的65nmPECVD设备已实现销售。

3.4、封测过程设备

半导体封测流程是半导体制造的后道工序,封装主要作用是将芯片封装在支撑物内,以增加防护并提供芯片和PCB之间的互联。半导体封测端主要工艺环节包括背部减薄——晶圆切割——贴片——键合——模塑——电镀——切筋成型——终测打标。

封装方式分为传统封装与先进封装,而目前先进封装的发展趋向越来越明显,据YoleDevelopment数据预测,全球先进封装市场将在2020年时达到整体集成电路封装服务的44%,年营业收入约为315亿美元;中国先进封装市场规模将在2020年达46亿美元。而从技术角度来看,FOWLP、SiP、3DTSV成为最受关注的三种先进封测技术。

根据国际半导体产业协会SEMI数据,全球封装测试设备市场稳步扩张,2017年全球封装测试设备市场规模达83.1亿美元,同比增长27.9%。在全球封测行业市场中,目前三足鼎立的局势已经形成。

相比于半导体制造领域,我国半导体封测领域已经迈入到较为前列的阶段。近年来,我国封测设备规模不断提升,2017年市场规模206.1亿元(30.5亿美元),同比增速达到18.6%。而2017年国内半导体设备市场规模为82.3亿美元,封装测试设备占比超过1/3,达到37.1%。封测设备市场中,封装设备市场14.0亿美元,测试设备与封装模具市场为16.5亿美元。

国内半导体封测设备厂商通过不断展开资本并购,及加大技术研发投入,已经在封测设备市场拥有较高市场份额。同前文描述,2017年,我国大陆厂商长电科技华天科技通富微电已在全球封测设备行业中分别排名第3、第6、第7,带来整个半导体设备产业链的发展信心。

四、国外半导体设备典型公司

国外半导体技术发展较早,发展水平高,市场集中度高,占据半导体设备市场主要份额。阿斯麦ASML)、泛林半导体(LAM)和应用材料(LAM)三家公司处于世界领先地位,2016年,ASML在光刻设备的市占率高达75.30%,LAM在刻蚀的市占率为52.70%,AMAT在PVD和CVD的市占率分别为84.90%和29.60%。随着技术进步、应用领域扩大和社会对半导体的需求增长,三家公司的净利润和毛利率均呈上升趋势。

1.阿斯麦ASML)——全球光刻机设备龙头

阿斯麦公司是荷兰的一家先进的半导体设备系统提供商,公司成立于1984年,于1995年在纳斯达克市场公开上市。公司主要提供光刻系统,为制造复杂的集成电路提供了一个产品组合。产品可分为DUV、EUV和应用三大类,自上世纪80年代以来,阿斯麦一共研发了4代光刻机技术,具体涵盖TWINSCANXT-NXT(DUV)、TWINSCANNXE(EUV)、PAS5500、PAS2500/5000等产品。

阿斯麦主营业务收入呈逐年增长趋势,截至2018年,韩国(34.04%)占比最大,其他依次是中国台湾(18.18%)、美国(17.92%)、中国大陆(16.84%)和欧洲中东非洲(5.77%)等地区。2016年开拓中国大陆市场,当年占比11.47%,2017年占比10.17%和2018年占比16.84%,随着国内对半导体的需求增加,中国大陆营收占比呈上升趋势。

阿斯麦2014至2018年营业收入和归母净利润均呈上升趋势,营收增速也逐年增加,近年增速放缓,仍具一定成长空间。主营业务收入显示,ArFimmersion(浸没式ArF光刻机)占比最高,为58.20%。其次是EUV(极紫外光刻机),为22.76%。

2.泛林半导体(LAMRESEARCH)——全球刻蚀机设备龙头

泛林半导体公司(LAM)于1980年建立,是一家提供晶圆制造设备和服务的供应商,1984年在纳斯达克首次公开上市。该公司致力于生产、销售和维修制造集成电路时使用的半导体处理设备,以刻蚀机与薄膜沉积设备为主。客户群包括领先的半导体存储器、代工厂和制造产品的集成设备制造商。

泛林半导体广泛开展对外业务,2018年各地区销售占比如下:中国台湾(12.62%)、韩国(34.60%)、北美(7.41%)、中国大陆(16.11%)和日本(17.00%)。该公司于1990年进入中国大陆市场,近五年占比呈逐年增长趋势,2014年占比13.53%,2018年增长至16.11%。

近五年主营业务收入由于存储器需求强劲和技术更迭呈逐年增长趋势。泛林半导体2018Q4存储芯片设备(NVM+DRAM)出货量占比在80%以上,其中占比最大的是NVM(55.00%),其次是DRAM(25.00%)、Foundry(13.00%)和logic/Other(7.00%)。

3.应用材料(AMAT)——全球CVD设备龙头

应用材料于1967年成立,是全球最大的半导体生产器材制造商。1972年纳斯达克上市,1992年成为世界上第一大半导体设备生产商并保持至今。该公司为全球半导体、平板显示器、太阳能光伏发电及相关行业提供制造设备、服务以及软件产品,产品包括:半导体圆片的化学蒸气沉积系统设备,半导体薄片装配,刻蚀及离子注入设备和Precision5000单芯片处理等。

应用材料营业收入逐年增长,2018年主营业务收入来源占比较大的公司为三星电子(13.00%)、台湾半导体制造商(11.00%)、英特尔公司(11.00%)。中国台湾、韩国、中国大陆等地区收入占比较大。

应用材料公司近五年主营业务和净利润呈稳定上涨趋势,2017年较2016年增幅明显,2018年稍显回落。2019H1市场供过于求,价格短期内走软,营收增速略微放缓,为-16.99%。主营业务收入占比为最大的是闪存(36.00%),其次是DRAM(27.00%)、硅片代工(24.00%)、逻辑芯片及其他(13.00%)。

五、国内半导体设备典型公司

近年来,半导体产业受到我国国家政策大力支持,但我国集成电路装备国产化率水平较低,光刻机、刻蚀机等核心装备技术存在很大困难,与国外差距较大。但是随着国内半导体设备龙头持续加大投入,目前在部分关键设备领域已经取得突破,晶盛机电、北方华创、长川科技和精测电子等公司销售规模和装机量快速增长,净利润近三年上升幅度较大,处于国内领先地位。

1.晶盛机电

晶盛机电2012年在深圳创业板上市,下属11家子公司,3个研发中心,是一家专注于“先进材料、先进装备”的高新技术企业,专业从事晶体生长、加工装备研发制造和蓝宝石材料生产,多年来与国内大型硅片厂商保持着良好的合作关系,具有技术与市场领先优势。主营产品可分为半导体领域、光伏领域和LED照明领域,晶体硅生产设备占比高达76.50%。

2018年,随着光伏产业的发展,晶体生长设备尤其是单晶硅生长炉及智能化加工设备需求较好,验收的产品较上年同期增长;半导体设备销售增长较快,对业绩有积极影响。2019Q1期间,光伏平价上网逐步推进,市场对高效单晶硅片和高效叠瓦组件需求量增大,该公司实现营业收入同比增长0.40%,利润总额同比下降6.05%,归母净利润同比下降6.73%。

2.北方华创

北方华创是由北京七星华创电子股份有限公司和北京北方微电子基地设备工艺研究中心有限责任公司于2016年完成战略重组而成,主要产品为高端电子工艺装备和精密电子元器件,是一家集研发、生产、销售及技术服务于一体的高科技企业集团,基于原下属各单元业务的相关系整合资源,着力打造在半导体装备、真空装备、新能源锂电装备和精密元器件四大业务领域的领先地位,其中半导体装备业务和电子元器件业务拥有突出发展优势,电子工艺装备占主营业务收入比重高达75.90%,电子元器件占比略低23.70%。

2016年重组后北方华创经营情况取得突破性发展,受益于电子工艺设备及元器件发展的利好市场因素驱动,单晶硅高效电池技术发展和成本下降,主营业务收入持续增加,增速稳步增长。2017年新能源汽车产量的快速增长带来的锂电设备投资市场成长空间、由于电子信息技术进步而形成的航空航天等高精尖领域对新型电子元器件需求的快速增长,北方华创主营业务保持往年的高水平进一步发展。2018年,继续加强技术创新能力建设,业务发展取得显著进步,在各细分市场获得广泛应用。2019Q1增速较2018年增速有所下降,但仍处于较高水平,未来发展仍较为可观。

3.长川科技

长川科技是一家致力于提升我国集成电路专用测试技术水平、积极推动集成电路装备业升级的国家高新技术企业和软件企业,主要从事集成电路专用设备的研发、生产和销售。主要产品为集成电路封装测试企业、晶圆制造企业、芯片设计企业等提供测试设备,集成电路测试设备主要包括测试机、分选机、探针台、自动化生产线等,目前主要产品包括测试机、分选机及自动化生产线。其中,测试机占主营业务收入比重最大,为54.40%。分选机占比稍低,为40.00%,二者相差较小。

2018年,受到半导体行业周期波动影响,公司主营业务收入增速放缓,净利润受研发投入加大及限制性股票股份支付费用影响,较同期相比有所下降。同年,公司对外积极开拓了台湾市场和东南亚市场,扩大市场份额和应用领域,客户结构持续优化。2019Q1,受全球半导体市场影响,收入与去年同期略为下降,又由于研发投入同比大幅度增加,净利润有所下降。

4.精测电子

2016年11月,精测电子在深圳证券交易所创业板上市,主要从事平板显示检测系统的研发、生产与销售,主营产品包括模组检测系统、面板检测系统、OLED检测系统、AOI光学检测系统和平板显示自动化设备。公司AOI光学检测系统占主营业务收入比重高居首位,为39.60%,模组检测系统(21.40%)、平板显示自动化设备(19.10%)、OLED检测系统(16.50%)占主营业务收入比重依次下降。

2018年,精测电子初步完成半导体测试和新能源测试领域布局,国内平板显示行业投资继续增加,平板显示检测设备的市场需求同步增长,主营业务收入增加显著。2019Q1,公司不断深入面板中前道制程,大力推动AOI及OLED产品发展,显示领域各产品线均取得快速增长,进一步巩固了行业优势,取得了良好的经营成绩,比上年同期增长65.53%。

温馨提示:如需原文档,可在PC端登陆未来智库www.vzkoo.com搜索下载本报告。

(报告来源:川财证券;分析师:方科)

全部讨论

头孜攻城狮2019-08-30 18:08

笔误比较多。