半导体自主可控行业全景研究

发布于: 雪球转发:9回复:1喜欢:25

如需原文档,请登陆未来智库www.vzkoo.com,搜索下载。

1 构建中国芯,半导体中长期逻辑看自主可控

华为事件敲响半导体自主可控的警钟,科创板对硬科技的重点聚焦,我们认为自主可控将演绎半导体行业的长期价值。我们自上而下梳理了整个半导体产业链(设备、材料、制造、设计),详细分析了每个产业链环节国内外的技术差距以及自主可控程度。

设备端:我国半导体设备整体国产化率不足 20%,国产化程度相对较低。同时光刻机仍停留在 90 纳米工艺水平,与海外设备商技术差距巨大。但是近年我国的半导体设备国产化替代不断加快,半导体设备自上而下都已进行了系统部署,多款集成电路设备制造已实现从无到有、从低端到中高端的突破。例如北方华创完成了除光刻机外几乎所有前道核心设备的布局,中微半导体 7 纳米刻蚀设备打入台积电生产线。

材料端:目前半导体核心材料的主要供应商均为海外厂商,且垄断局面显著,例如:硅片的前五大厂商占据超过 90%的市场份额,封装基板方面,日韩厂商占据接近 80%的市场份额。由于国家政策支持、大基金扶持以及晶圆厂向中国转移的行业大趋势,国产半导体材料竞争力加强,产业梯队趋势明显。第一梯队中的靶材、封装基板等国产材料中,部分技术标准达到全球一流水平,本土产线已基本实现中大批量供货。在靶材方面,国内企业江丰电子已经具备较强的竞争力,产品已经打入主流国际市场。

制造端:逻辑芯片代工方面存在“两头在外”的产能不足、先进制程落后世界先进水平等问题,但是我们也看到以中芯国际为首的代工厂已经进驻 14 纳米 FinFET 先进制程世代,与台积电等巨头制程差距不断缩小;存储芯片制造方面,90%以上的市场份额被海外巨头垄断,以长江存储和合肥长鑫为首的国内存储厂商率先对存储市场发起了冲击。长江存储 64层 3D NAND 闪存芯片量产显著爬升,预计年底量产;合肥长鑫预计 2019 年末可实现每月生产 2 万片 DRAM 的产能目标,2021 年完成对 17nm 工艺技术的研发。

设计端:我国的半导体设计企业规模较小,缺乏全球性的设计巨头,同时芯片架构需要依靠海外 IP 厂商授权,同时,EDA 软件也被 Cadence、Synopsys 以及 Mentor 等海外厂商垄断。近年来我国半导体设计市场增速领先行业平均水平,IC 设计已经超越封测业成为国内半导体产业链中比重最高的环节。同时,以华为海思为首的芯片设计商进入全球 Top 20 IC企业,自行设计出 SoC 芯片、基带芯片、服务器芯片等,未来有望在 5G 和 ASIC 芯片领域实现弯道超车。

1.1 我国芯片贸易逆差巨大,半导体产业结构失衡

一直以来,芯片行业都是我国的短板之一,除了移动通信终端和核心网络设备有部分集成电路产品占有率超过 10%外,包括计算机系统中的 MPU、通用电子系统中的 FPGA/EPLD 和 DSP、通信装备中的 Embedded MPU 和 DSP、存储设备中的 DRAM 和 Nand Flash、显示及视频系统中的 Display Driver,国产芯片占有率都是 0。目前芯片产业面临的高端产品对外依赖度较高、人才短缺及产业集中度不高等问题暴露无遗,提高芯片国产化迫在眉睫。

中国一直是电子产品生产的集中地,因而也是全世界最大的半导体产品消费国家。2017年,中国对半导体的需求约为 1892 亿美元,占全球半导体市场的 44.1%。中国集成电路市场近年来一直在快速增长,且随着国内 5G 通信、物联网等前沿应用领域快速成熟,国内集成电路市场需求将进一步提升。

中国虽有着全球最大的半导体市场,但集成电路设计企业的主流产品仍然集中在中低端,与国外企业差距巨大。根据 IC 前沿战略评估数据库数据,2017 年营收规模前十的半导体企业中,无一家属于中国,而美国多达 5 家,是名副其实的芯片霸主。

我国集成电路需求中很大比例仍需依靠进口来满足。根据海关总署数据,近年来我国的集成电路年进口额都超过 2000 亿美元,2017 年更是高达 2601 亿美元,但是出口额却只有669 亿美元,2017 年进出口贸易逆差达到了 1932 亿美元。国内快速膨胀的集成电路需求,使得加速实现集成电路产品的进口替代显得尤为迫切。虽然目前国内集成电路自给率尽管仍处于低位,但这一比例正呈现逐年上升态势。

从我国近十年来集成电路产业销售结构来看,低端封测业市占率越来越低,从 2008 年的 50%一路下降到 2017 年的 38%,取而代之的是设计端销售占比大幅提升,从 2008 年的18%上升至到 2017 年的 34%,芯片制造端呈现占有率先大幅下滑继而又逐渐上升的态势。从技术角度来看,芯片设计的技术含量要远高于封测端,因此,近十年来,我国的集成电路销售结构已经由封测为主过渡到设计、制造、封测相对均衡的局面。

虽然近十年来我国集成电路三业(封装、制造、设计)齐头并进,集成电路产业结构趋于优化,但目前还是以芯片设计和封装测试为主,在集成电路晶圆制造领域,国内短板尤为突出。从全球集成电路产业现状和发展经验来看,一般集成电路设计、制造和封测的价值量比例为 3:4:3,根据前瞻产业研究院数据,我国 2017 年集成电路制造商产值仅为 27.2%,远低于设计端的 34.4%和封测端的 38.4%。并且,我国的半导体制造企业中三星、英特尔等外资制造商营也有拥有很高的营收占比,因此本土的制造端短板更为突出。

根据微笑曲线理论,芯片设计的附加价值比较高,营销服务次之,最后才是生产制造。因此我国的半导体设计市场近几年发展速度迅速,2017 年设计市场规模已经超越封测,成为我国半导体行业最大的细分市场。半导体封测业虽然附加值较低,但是技术壁垒较低,是实现半导体国产化的重要突破口之一,因此 2017 年以前封测业一直是我国最大的半导体市场,近几年从增长速度上来说略低于设计和制造。半导体制造在我国半导体领域比重最小的主要原因在于其较高的技术壁垒和较低的附加值,一个晶圆厂从规划到投入生产使用大概需要两年的时间,后期还要经过产能爬坡和良率提升阶段,另外,建设一条 12 英寸芯片生产线的投资已经很高,少则 30-50 亿美元,其中仅半导体设备的投资占 70%以上。除了时间和资金成本外,晶圆代工厂还要面临巨额的设备折旧和世界巨头价格战的打压,因此我国半导体制造业发展速度较为缓慢。

1.2 从手机产业链看半导体行业的自主可控

智能手机是近十年移动互联时代最重要的终端之一,也是继计算机之后含硅量最高的产品之一。智能手机渗透率的提升带来处理器、存储器、射频芯片、DSP 芯片等一系列产品需求的增加,也推动整个半导体产业迈向下一个全新的景气周期。

近十年来,中国诞生出 OPPO、VIVO、华为、小米等众多全球知名智能手机制造商,其中,华为掌握了 CPU 处理器、基带芯片、射频收发器等方面的核心技术,拥有较强的自主供给能力。

根据恒大研究院的研究结果显示:智能手机由芯片、显示屏、摄像头、功能键、结构件、被动元件和其他部分构成,其中芯片(35%-50%)、显示屏(10%-20%)、摄像头(10%-13%)三类零部件成本占比较高。下面以华为手机 P30 为例,分析手机芯片的国产化率情况。

随着中兴事件的爆发,国内半导体科技企业开始意识到,只有真正地掌握“核心”科技,才能不受制于人。特别是在智能手机领域,虽然芯片看似非常小,但芯片却是手机功能升级的源动力,并且需要非常漫长和复杂的过程来进行研发。在当前国产手机中,无论是核心处理器还是周边小器件 IC,主要都是依靠进口芯片为主。即使在国产手机品牌迅速进行海外扩张的当下,也只有极少数的国产 IC 产品能够进入到主流品牌旗舰机型的供应链中。

在市场竞争愈发激烈的情况下,华为手机业务之所以能够实现跨越式发展,很大程度上来自于它的“芯”优势。从华为 P30 的 BOM 表中可以看出:华为 P30 的芯片总成本为 127.78美元,其中国产芯片为 73.2 美元,占比高达 57.3%;华为的自主芯片在 CPU、基带、Wi-Fi、射频收发器、电源管理等关键芯片上都实现了国产自主供给,然而在射频模块、模拟前端、存储芯片领域仍依赖国外的 IC 芯片。

通过对华为 P9、Mate20、P30 进行比较来探究手机芯片的国产化进程:

在 SoC 方面,芯片一直由华为自主供给。从 P9 到 P30,华为手机处理器从海思麒麟 955发展到了运用 7nm 工艺的海思 980,并一直搭载着自主研发的 Balong 基带芯片。

在存储芯片方面,国内厂商一直未能实现自主供给。对于 DRAM,华为 P9 的供应商为三星,Mate20 为东芝,P30 为美光;对于 NAND,华为的三款手机均由 SK 海力士供货。

在射频 IC 和前端模块方面,国产化率情况未发生显著变化。华为 P9 的供应商主要为Qorvo、Skyworks、MURATA、海思等; Mate20 主要由海思和 Skyworks 提供;P30 主要由海思、Qorvo 提供。

在指纹芯片方面,供应商未发生变化,国产化率没有变化。对于屏下指纹芯片,从 P9 到P30,华为手机一直选择汇顶科技作为其供应商,保持着稳定的国产化水平;对于指纹控制器,华为一直选择意法半导体的相关模组。

在电源管理芯片方面,国产化率有所提升。华为 P9 由德州仪器和海思提供;Mate20 和P30 只由海思提供。

在 NFC 控制方面,华为 P9 未配备 NFC 技术,华为 Mate20、P30 一直用恩智浦的芯片。

1.3 中国芯片行业迎历史性机遇,攻克三大难题成发展关键

半导体产业链从上游至下游都诞生出了一大批知名企业,比如半导体设备巨头应用材料、半导体材料龙头信越化学、IC 设计龙头高通、制造龙头台积电、存储芯片龙头三星等。从2017 年半导体销售额上看,三星实现收入 656 亿美元,位居全球第一,英特尔收入略低于三星,位居第二,台积电 2017 年半导体收入不及三星的一半,位居全球第三。根据 2017年全球半导体企业销售额前十名可以看出,全球半导体巨头主要集中在美国、日本、中国台湾等地。除了美光等存储厂商外,美国本地主要是英特尔、高通、英伟达等偏向半导体设计类的公司,而韩国、日本、中国台湾主要是一些重资产投入类的制造企业。

就现阶段而言,中国半导体领域面临三大核心难题,分别是制造、设备、材料。设备和制造相互耦合,因为没有先进的设备不可能有先进的制造技术,但是拥有先进的设备不代表就能拥有先进的制造技术,因此设备只是制造的一个必要不充分条件,除了设备以外,半导体制造更重要的是设备间的工艺协调性,亦或是一种经验性的试错。由于半导体制造良率直接攸关代工厂最终的效益,因此半导体制造既是一个技术密集型产业也是一个经验密集型企业。目前我国在设备生产端与国外先进设备商还有较大差距,虽然我国目前也已经初步建立起整套完善的半导体设备体系,但是目前还集中在一些成熟制程领域或者后道封测领域。从半导体制造市场来看,我国的半导体制造产能也已经达到全球产能的 10%以上,本土制造企业也已经超过 5%以上,但是主要还是集中在一些特色工艺领域,对 16nm 及以下主流工艺尚未涉足。对于半导体材料而言,国产硅片商由于在大尺寸的硅片方面达不到集成电路所需要的精度,因此还主要集中在太阳能、LED 能较为低端的应用领域。

1.4 半导体自主可控应当至少在产业链某一环节拥有较强话语权

半导体产业链长,包含上游材料、设备、设计、制造、封测、下游应用等多个环节,而且上游和中游技术要求高,投资大,因此整个半导体产业链具有高度的专业分工,但在各个子环节又具有出高度集中的特性。世界上没有一个国家和地区可以高度垄断整个半导体产业链,美国作为半导体强国,也仅仅强在设备端、设计端以及存储,在半导体代工制造、材料领域仍需要外协合作。中国台湾拥有台积电联电等代工厂,几乎占据了全球代工 60%的产能,在材料、存储、设计等领域也有所涉猎,但是半导体设备却是中国台湾一大短板。日本拥有全球 50%的硅片生产产能,在半导体设备端亦拥有东京电子、爱德万这样的设备巨头,但是却不曾拥有一家全球知名的半导体制造商。同样,韩国拥有三星和海力士这样的半导体巨头,奠定了存储、制造、设计强国的地位,但是设备端也不曾布局。

不同国家或地区分工协同整合半导体产业链是全球化经济的常态,半导体产业虽然具有国家战略高度,但它归根结底还是一个产业,一个产业能够持续生存以及强大的基础就是可以持续盈利。因此,不同国家或地区或根据自身的情况选择发展半导体产业链中的一个或多个环节。因此,半导体产业的自主可控应当是在某一环节拥有较强的话语权或者实现垄断地位。目前看来,尽管我国已经拥有了较为完善的半导体产业链,但是还没有在任何一个环节拥有较强的话语权,所以自主可控程度相对较低。目前中国拥有全球最大的半导体市场,全球建厂热潮已经开始往中国转移,同时国家也在资金、政策方面大力发展半导体等硬科技行业,因此半导体自主化程度的提升是我国未来半导体行业的发展重心。

2 半导体设备——美日高度垄断,刻蚀设备展先机

半导体设备是集成电路产业链中最核心的生产基础,兼具资金密集与技术密集的特点,技术门槛高、资金投入大、回收周期长,进入壁垒很高。目前大多数核心设备都被国际巨头垄断,但在一些细分市场国产设备厂商已经取得突破,例如北方华创、中微半导体的刻蚀机,盛美、至纯的清洗机已进入产线验证,长川科技的后道检测设备已实现国产替代。

2.1 晶圆厂资本支出近 80%用于半导体设备购置

从加工工艺来看,集成电路制造主要的工艺流程包括晶圆清洗、光刻、刻蚀、离子注入、薄膜沉积等。晶圆清洗是去除每一次加工中带来的杂质污染,几乎所有制程前后都需要清洗,清洗工艺约占所有步骤的 30%。光刻工艺的主要作用就是将掩膜板上的图形复制到硅片上面的光刻机上,为下一步进行刻蚀工序做好准备。光刻工艺是 IC 制造过程中最难的一道工序,对技术要求和设备投入是所有加工工艺中最高的,差不多占到总设备投入的 30%,且耗时最长,约占到整个硅片工艺的 50%。刻蚀工艺利用显影后的光刻胶图形作为掩模,在衬底上腐蚀掉一定深度的薄膜物质,随后得到与光刻胶图形相同的集成电路图形。薄膜制备工艺是在晶圆片上覆盖数层不同材质与厚度的薄膜,然后通过光刻和刻蚀工艺形成特定的结构。离子注入工艺是在半导体中注入杂质原子(如在硅中注入硼、磷或砷等),可改变其表面电导率或形成 PN 结。IC 制作之后进入 IC 封测环节,通过切割、贴片、装片、测试、引线键合、模塑等等一系列操作后,进行成品的测试。

设备需求主要集中在 IC 制造环节,其次是 IC 封测。设备投资约占整体投资的 70-80%。在设备投资中,IC 制造环节占比 80%,封装测试占比 10%,前端设备占比 5%。在 IC 制造中,最主要的核心设备是薄膜沉积设备、光刻机、刻蚀机等,规模分别占设备投资的 25%、30%、20%, 其它辅助设备包括化学机械抛光设备、光刻胶设备等,占设备投资的 10%。

根据 SEMI 统计数据,2017 年全球半导体设备支出达到 570 亿美元,较上半年的预测金额增加 20.7%,同比增长达 38%,主要动能来自存储器与晶圆代工增加投资,明年支出预

估也从 500 亿美元上修至 630 亿美元,可望连续 2 年创新高纪录。2017-2020 年间,全球将有 62 座新建晶圆厂投入营运。62 座晶圆厂中,7 座是用于研发的晶圆厂,其余 55 晶圆厂都将用于量产。以地理区来看,中国大陆将有 26 座新的晶圆厂投入建设与营运,占新增晶圆厂的比重高达 42%,将直接带动大陆近 3 年设备支出的大幅成长。

虽然我国已经成为全球第二大半导体设备市场,仅次于韩国,下游市场对半导体设备需求也极度旺盛,但是国产设备的自给率程度却很低。2018年我国半导体设备进口金额为112.3亿美元,国产设备产值 15.9 亿美元,自给率仅为 12%。

2.2 半导体设备市场集中度高,美日厂商技术领先

目前全球集成电路专用设备生产企业主要集中于欧美、日本、韩国和我国台湾地区等,以美国应用材料公司(Applied Materials)、荷兰阿斯麦ASML)、美国拉姆研究(Lam Research)、日本东京电子(Tokyo Electron)、美国科磊(KLA-Tencor)等为代表的国际知名企业起步较早,经过多年发展,凭借资金、技术、客户资源、品牌等方面的优势,占据了全球集成电路装备市场的主要份额。2016 年全球半导体专用设备前 10 名制造商销售规模占全球市场的 81%,市场集中度高。

半导体设备技术更新周期短,因此该行业具备技术壁垒高,市场壁垒大,客户认可壁垒高等特征,因此多数半导体设备细分市场出现一家独大或者全球前三大设备商市场占有率超过 90%的局面。例如光刻机市场阿斯麦全球市场占比 83.1%,加上日本的尼康佳能,前三大全球占比 94.4%;PVD 设备市场,应用材料全球占比 74.4%,算上 Evatec 和 Ulvac,前三大全球占比 92.5%;刻蚀设备市场,拉姆研究全球占比 45.8%,连同东京电子和应用材料,前三大全球占比 93.9%;离子注入设备市场,应用材料、亚舍利科、SMIT 三者合计全球市场占比 96.6%;CMP 设备市场,应用材料、Ebara、东京精密三者合计全球市场占比 98.6%。

2.3 半导体设备国产替代空间巨大,国内正加快技术突破

我国半导体设备整体国产化率不足 20%,国产化程度相对较低。目前中国前道半导体设备国产化率低于 20%,后道封测端多款设备已经实现了国产化替代,整体国产化率要远高于前道设备。

国内半导体设备商起点落后海外设备商 20 年,但近年来技术差距逐年缩小。早在 20世纪 50 年代美国便开始了集成电路生产工艺的研究,因此美国的半导体设备起步最早。随后在美国崛起了一大批优秀的半导体设备商,比如应用材料(AMAT)和拉姆研究(LRCX)。中国半导体设备起步较晚,21 世纪初才开始成立七星电子、中微半导体、上海微电子等国产设备商,因此与国际设备龙头在起点上有着 20 多年的差距。

近年来国产半导体设备快速发展,叠加政府的强力扶持,国产半导体设备与国际先进技术水平的差距在逐年缩小。以 02 专项实施最早的硅刻蚀机为例,于 2003 年启动时,与国外相差 20 多年的差距;2007 年北方华创的 8 英寸等离子刻蚀机研发成功,将国内外技术差距缩减为 8 年;2011 年 12 英寸硅刻蚀机将工艺水平推进至 40 纳米,此时技术节点落后国际水平 6 年。2016 年 14nm 的刻蚀机进入生产线时,技术差距基本缩小到 2-3 年。

《中国制造 2025》规划中明确提出:在 2020 年之前,90-32 纳米工艺设备国产化率达到 50%,实现 90 纳米光刻机国产化,封装测试关键设备国产化率达到 50%;在 2025 年之前,20-14 纳米工艺设备国产化率达到 30%,实现浸没式光刻机国产化;到 2030 年,实现18 英寸工艺设备、EUV 光刻机、封测设备的国产化。

随着国家对半导体设备的战略重视,目前我国的半导体设备国产化替代不断加快,国内正慢慢培养出一批优秀的半导体设备制造商,如专注前道设备的北方华创、中微半导体、至纯科技、盛美半导体以及专注后道设备的长川科技。目前,我国集成电路设备制造已实现从无到有、从低端到中高端的突破,半导体设备自上而下都已进行了系统部署,未来将在高端制造设备上不断缩小与国外的差距。

经过多年的自主研发,光伏、LED、先进封装等设备国产化水平较高,集成电路设备工艺覆盖率仍在持续提升中。在光伏领域,国产设备已经成为国际主流,具备整线配置能力;在 LED 领域,刻蚀机、PVD 等设备国产化率高达 80%,最核心的 MOCVD 设备也实现国产大批量供货;在先进封装领域,国产设备采购额比例也达到 79%;在集成电路领域,逻辑芯片工艺覆盖率持续提升(28 纳米-16%;40 纳米-17%;65/55 纳米-31%),存储芯片国产设备工艺覆盖率约 15%-20%。

从半导体设备各细分市场来看,刻蚀设备国产化进程最快,中微半导体的介质刻蚀设备已达到 7 纳米工艺节点,成为台积电 7 纳米产线刻蚀设备 5 家供应商中唯一一家国产设备公司。北方华创 28 纳米硅刻蚀设备已经量产,16/14 纳米硅刻蚀设备进入国内主流生产线验证。在镀膜设备领域,北方华创的 PVD、LPCVD 目前已经进入 14 纳米生产线验证,进展顺利。在清洗机领域,2017 年盛美半导体 TEPO(电气泡震荡兆声波清洗技术)机型已经在华力微电子等五家半导体制造商中进行产线测试,预计将在 2020 年大范围推广。差距最大的是光刻机领域,目前阿斯麦的 EUV 光刻机工艺制程达到 7 纳米及以下,被台积电、三星等代工巨头大规模采购,而我国的上海微电子的光刻机仍停留在 90 纳米量产的水平,因此在光刻机技术方面我国还有很长的道路要走。

3 半导体材料——自主化程度低,细分市场获突破

半导体材料行业具有技术门槛高、成本占比低的特性,目前,半导体核心材料的主要供应商均为海外厂商,且垄断局面显著,例如:硅片的前五大厂商占据超过 90%的市场份额,封装基板方面,日韩厂商占据接近 80%的市场份额。但近年来由于国家政策支持、大基金扶持以及晶圆厂向中国转移的行业大趋势,国内半导体材料行业市场规模及技术水平都实现了巨大发展。靶材、封装基板等国产材料中部分技术标准达到全球一流水平,本土产线已基本实现中大批量供货,江丰电子雅克科技、江化微、晶瑞股份等国产材料企业竞争力逐步提升。

3.1 位于产业链上游,产业规模大、细分行业多

半导体材料行业处于半导体行业的产业上游,其具备产业规模大、细分行业多、技术门槛高、成本占比低四大特性:1)产业规模大:2018 年全球半导体材料市场规模达 519 亿美元,占整个半导体产业整体规模的 11.1%; 2)细分行业多:半导体材料是半导体产业链中细分领域最多的产业链环节,细分行业多达上百个;3)技术门槛高:半导体材料的技术门槛一般要高于其他电子及制造领域,其具备纯度要求高、工艺复杂等特征,并且对于不同芯片的特殊性能要求,半导体材料的相关参数也有所不同;4)成本占比低:由于半导体材料的细分子行业众多且不同工艺环节所需材料多样,使得单个细分材料往往在半导体生产成本中占比较低。

半导体材料主要包括晶圆制造材料和封装材料,其中,晶圆制造材料包括构成晶圆的材料和晶圆代工所需的化学气体和试剂等,主要有硅片、光刻掩膜版、光刻胶、光刻辅助试剂、电子气体、工艺化学品、溅射靶材、CMP(化学机械抛光)材料和其他材料等;封装材料指晶圆封装过程中用到的各类材料和工具,包括框架、基板、陶瓷封装材料、封装树脂、键合金属线、芯片粘接材料等。

先进的封装业务和代工厂是推动一个地区材料市场发展的重要因素,其中,北美的材料市场则以晶圆制造材料为主,世界其他地区以封装材料为主。中国台湾连续八年保持在材料市场第一,其次是中国大陆、韩国、日本、世界其他地区、北美和欧洲。随着中国大陆半导体制造业的增强,中国半导体材料市场规模稳步上升,2018 年中国大陆的材料市场占比16.2%,已成为全球第三大半导体材料市场。

全球半导体材料市场基本保持着上升趋势,2018 年全球半导体材料市场规模达 519 亿美元,同比增长 10.1%,占整个半导体整体规模的 11.1%,其中,晶圆制造材料市场占比 62.1%,封装材料占比 37.9%。

从 2015-2018 年,晶圆制造材料的市场份额持续上涨且增速不断加快,封装材料的市场份额基本不变且增速维持在-5%~5%区间内。

从 2018 年晶圆制造材料的细分市场来看,硅片的市场份额占比最高(37%),其次是电子气体(14%)、光罩(13%)、光刻胶(6%)等。

从 2018 年封装材料的细分市场来看,封装基板的市场份额占比最高(33%),其次是引线框架(17%)、键合线(15.8%)、封装树脂(14.6%)等。

3.2 核心材料自主化程度低,海外厂商垄断性高

由于半导体材料行业具有技术门槛高、成本占比低的特性,我国半导体厂商在起步较晚的情况下,难以选择合适的细分领域切入,致使国内半导体材料企业呈现出数量少、规模小、技术水平低等特点。当前,我国的半导体材料产业主要有五个问题:(1)我国产业基础弱,核心器件国产化率低,加工技术和工艺水平与国际领先厂商差距较大。(2)由于起步较晚,我国缺乏熟悉硬件、软件、工艺加工等多领域的复合型骨干人才。(3)半导体材料门槛较高、验证周期长,导致国内产品难以打入代工企业供应链。(4)产业链上下游联动不足,材料与制造企业的协同研发较差,产业化能力不足。(5)国内材料企业普遍分散、规模体量小、技术产品单一,难以形成规模效应、研发投入不足,无法与国际巨头相抗衡。

目前,半导体核心材料的主要供应商均为海外厂商,且垄断局面显著,例如:硅片的前五大厂商占据超过 90%的市场份额,靶材的前四大厂商占据超过 80%的市场份额,封装基板方面,韩国、日本等厂商占据接近 80%的市场份额。

从晶圆制造材料来看,硅片、电子特气、CMP 的前五大国外供应商均占据了超过 90%的市场份额。

从封装材料来看,封装基板的市场份额主要被中国台湾、韩国、日本的厂商占据。

3.3 政策支持力度大幅提升,细分市场取得突破

由于国家政策支持、大基金扶持以及晶圆厂向中国转移的行业大趋势,国内半导体材料行业市场规模及技术水平都实现了巨大发展。目前,我国半导体材料厂商的关键材料品种覆盖率达到 25%,国产化率达到 20%。200mm 硅片产品品质显著提升,高品质抛光片、外延片开始进入市场。300mm 硅片产业化技术取得突破,90-65 纳米产品片通过用户评估,开始批量销售,多项材料已进入国际市场。

国产半导体材料竞争力加强,产业梯队趋势明显。第一梯队中的靶材、封装基板等国产材料中,部分技术标准达到全球一流水平,本土产线已基本实现中大批量供货。在靶材方面,国内企业江丰电子已经具备较强的竞争力,产品已经打入主流国际市场;第二梯队的电子、硅片等国产材料中,个别产品已达国际水准,雅克科技收购的科美特和江苏先科具备一定的研发能力,未来有望受益国内半导体市场发展;在光刻胶方面,国内光刻胶由中低端向高端逐步过渡,国内厂商已经基本掌握 436nm 和 365nm 的光刻胶技术,248nm 和 193nm 光刻胶核心技术仍被日本和美国的厂商垄断;在工艺化学品方面,国内企业江化微、晶瑞股份有一定研发能力,竞争力正在逐步提升。

国家关注半导体材料产业的发展。大基金一期投资 180 亿美元到半导体材料行业,科创板中有 5 家企业专注于半导体材料的生产。

我国半导体材料产业发展迅速,增速快于世界平均水平。随着晶圆厂向中国迁移的趋势的推动下,2018 年,中国晶圆代工厂销售额上涨 41%,使得中国在 2018 年年纯晶圆代工市场所占市场份额达到了 19%,这将进一步推动中国半导体材料产业的发展。

我国大硅片产能释放在即,国产化率有望大幅改善。2018 年,300mm 硅片和 200mm硅片全球市场份额占比分别为 63.31%和 26.34%,两种尺寸硅片合计占比接近 90%。国内厂商已实现 150mm 及下尺寸硅片自给,200mm 硅片仅有少数国内厂商已实现量产,上海新昇实现了 300mm 规模化销售。

2017-2019 年.全球芯片制造产能(折合成 200mm)的年均复合增长率为 3.73%,而中国的芯片制造产能年均复合增长率高达 10.66%。近年来,随着中芯国际、华力微电子、长江存储、华虹宏力等中国芯片制造企业的持续扩产,对硅片的需求持续增长、供不应求。

目前,中国的 300mm 芯片制造产能低于 20mm 芯片制造产能,然而,随着国内企业的不断进步,据 Gartner 预测,未来国内 300mm 制造芯片产能将超过 200mm 制造芯片产能。

从半导体材料行业的上市企业来看,国内企业在细分领域产品已经取得较大突破,本土产线也已实现中大批量供货,有一定的竞争优势。目前国内半导体材料具备较高水平且本土产线可以实现大规模供货的主要有溅射靶材、CMP 材料、封装基板等半导体材料,硅片、电子气体、掩膜版等材料处于可实现小批量供货阶段。

4 半导体制造——产能制程落后,中芯为首齐发力

半导体制造主要分为逻辑芯片、存储芯片制造等。逻辑芯片领域,台积电、三星等承接产业转移的机遇,建立了较强的先发优势,但中芯国际、华虹半导体等大陆晶圆代工企业正在加速追赶,产线规模不断扩大、制程技术不断提高。存储芯片领域长期为三星、海力士、美光等企业垄断,进入壁垒高,国内以长江存储、合肥长鑫为代表的企业已经建立产线、全力攻坚产能爬坡与良率提升。射频芯片方面,尽管 Skyworks、Qorvo 等国际巨头瓜分了大部分市场,但唯捷创芯、慧智微、中科汉天下等国内企业已经实现阶段性技术突破,市场份额也在逐步提升。

4.1 逻辑芯片:产能两头在外,先进制程落后

在半导体芯片行业,企业模式主要分三种,IDM、Foundry 和 Fabless。IDM 被称为垂直设计和制造企业,是指从设计到制造、封装测试以及投向消费市场一条龙全包的企业,这种模式在逻辑芯片的代表性厂商有英特尔,在存储芯片的代表性厂商有三星、海力士、美光等。Foundry 是代工厂,是指不做设计和下游营销,专注加工工艺的整合和产能的提升,最典型的是台积电。而有的公司专注设计,没有加工工厂,业务基本外包给代工厂,称为 Fabless,在逻辑芯片领域有 AMD、高通、博通等。纳米制程是针对 IDM 和 Foundry 而言,Fabless没有工厂,不需要担心纳米制程的问题。他们只需要选择合作对象,给他们设计的芯片进行代工,所以更先进的制程是 IDM 和 Foundry 执著追求的目标,一旦掌握了最先进制程技术,意味着可以最早占领市场,形成先发优势,对后进入者可以实施价格打压,维护自己的垄断地位。

半导体制造环节资金壁垒高。产能的扩张需要新建大量厂房和引进大量设备,一般新建一个 12 英寸生产线需要上百亿元的资本投入。产线建设完成后也需要经过长时间的产能爬坡才能达到大规模生产,因此在厂线使用初期,高额的折旧摊销也会对利润带来侵蚀,因此半导体制造资金壁垒高。半导体制造环节由最初的 IDM 模式向当今的晶圆代工演化,这使得相当多的公司可以从大量的设备投入、研发费用中解放出来,专注半导体的设计。

半导体制造环节技术壁垒高。在半导体制造环节,除了半导体设备本身极具技术难度之外,各个环节设备之间的工艺配合以及误差控制需要大量的经验积累。一般集成电路生产需经过几十步甚至上千步的工艺,在 20nm 技术节点,集成电路产品的晶圆加工工艺步骤约1000 步,在 7nm 时将超过 1500 步,任何一个步骤的误差放大都会带来最终芯片良率的大幅下滑,因此半导体制造行业是一个高度精密的系统工程。因此,在建立先进制程生产线时,需要投入高额的研发费用。

据 IHS Markit 统计,2017 年全球纯晶圆代工市场营收为 530 亿美元,较上年增长 7.1%。随着无生产线的Fabless商业模式的流行及越来越多的IDM公司对纯晶圆代工厂的先进节点产品制造上的依赖,领先的纯晶圆代厂的营收将持续性增长。预计到 2021 年,纯晶圆代工市场营收将达到 754 亿美元,2016 年到 2021 年的年复合增长率为 9.1%,超过同期全球半导体市场的 2.8%。从技术节点演变角度来看,28/22 纳米及以上相对成熟制程凭借高性价比依然拥有较大的市场规模,存量上基本保持不变或轻微下降,但是由于 28/22 纳米以下先进制程的市场规模逐渐扩大,成熟制程的市场占比会不断下降。总的来说,目前代工市场还是主要以成熟制程为主,先进制程占比不断提高,2017 年 28/22 纳米及以下先进制程市场占比仅 38%,预计到 2021 年可以达到 56%。

由于第二次产业转移中国台湾承接了代工业务,因此台湾贡献了全球最大的代工产能。仅台积电一家在 2018 年上半年就占据了全球晶圆代工市场的 56.1%,联华电子市占率为8.9%,两者加起来总共占据了 65%的市场规模。格罗方德是从美国 AMD 公司亏损后拆分出来的晶圆厂与阿布达比创投基金合资成立,目前也拥有 9%的代工市场。三星最初是和英特尔一样,是典型的 IDM 厂商,晶圆代工厂主要服务自身的芯片供应,多余产能也会外接其他订单。2016 年三星代工业务营收 45 亿美元,市场占比约 7.7%,位居全球第四。为进一步提高代工业务盈利能力,2017 年 5 月三星正式宣布代工业务部与系统 LSI 业务部分离,开始自立门户。

中芯国际是大陆最大的晶圆代工厂,占据大陆晶圆代工市场的 58%,也是大陆唯一一个可以提供 28 纳米先进制程的晶圆代工厂。华虹半导体是全球领先的 200mm 纯晶圆代工厂,主要面向 1 微米到 90 纳米的可定制服务,根据 IHS 的数据,按 2016 年销售收入总额计算,华虹半导体是全球第二大 200mm 纯晶圆代工厂。

我国集成电路制造业 2017 年销售额达 1390 亿元,预计 2018 年更多新厂实现规模量产,销售额将进一步攀升至 1767 亿元。主要表现为 12 英寸集中扩建,8 英寸订单满载,6 英寸面临转型升级。从产能供给角度来看,2016 年我国大陆地区晶圆制造产能仅为全球的 10%左右,由于国内半导体市场需求巨大且逐年稳步增长,供需关系明显失衡,我国内地将成为半导体制造厂商的必争之地。

目前我国晶圆代工的局限主要体现在两方面,一方面,从产能端来看,“两头在外”现象严重,另一方面,从制程端来看,与海外巨头有 2-3 技术代的差距。

产能端:我国的晶圆代工企业和本土设计公司在产值方面出现严重的不匹配。华润微电子将这种现象定义为“两头在外”,一方面本土晶圆制造代工厂给国外设计商做代工,同时国内设计公司也在依靠海外代工厂去生产。

2013 年,中国整个晶圆代工产业规模为 297 亿元,其中中国本土晶圆代工规模 248 亿元,外资在国内设立晶圆代工厂产业规模为 49 亿元。中国本土 IC 设计公司占据中国本土晶

圆代工营收规模中的 114 亿元,占比高达 46%。2013 年中国 IC 设计公司对晶圆产值需求约323 亿元,中国本土晶圆代工厂提供给本土 IC 设计公司的产能按照产值仅满足 35.3%,还存在 209 亿元的晶圆代工缺口。

2017 年,中国整个晶圆代工产业规模为 440 亿元,其中中国本土晶圆代工规模 370 亿元,外资在国内设立晶圆代工厂产业规模为 70 亿元。中国本土 IC 设计公司占据中国本土晶圆代工营收规模中的 190 亿元,占比高达 51%。2017 年中国 IC 设计公司对晶圆产值需约671 亿元,中国本土晶圆代工厂提供给本土 IC 设计公司的产能按照产值仅满足 28.3%,还存在 481 亿元的晶圆代工缺口,比 2013 年增加了 130%,因此,“两头在外”现象更加显著。

从晶圆代工工艺角度来看,目前国内晶圆代工厂在特色工艺领域(BCD 等模拟工艺、射频、e-NVM、功率器件等)同国外晶圆代工厂差别不大,基本能满足国内设计公司要求,同时也承接了大规模海外设计公司的需求。国内晶圆代工厂难以满足国内设计公司对主流工艺(16nm 及以下)和高性能模拟工艺的需求,2017 年国内设计公司到外资晶圆代工厂代工规模达 481 亿元。

制程端:我国设计业对先进制程要求日趋提升,但代工技术制程与海外有较大差距。

中国 IC 设计公司对晶圆代工的要求逐渐向 90nm 以内节点发展。2017 年,设计公司采用 0.13um 节点占比 53%,2018 年 90nm 及以下节点制程的需求将超过 0.13um,至 2025年中国设计公司 70%会用到 90nm 以内制程。

中芯国际是中国大陆规模最大的晶圆代工厂,同时也是制程技术最先进的晶圆代工厂。目前公司 28 纳米 PolySiON、HKMG、HKC 全平台建设已经完成,FinFET 研发进展顺利,第一代 FinFET 14 纳米技术进入客户验证阶段,产品可靠度与良率已进一步提升。第二代FinFET N+1 技术开发正在按计划进行。上海中芯南方 FinFET 工厂顺利建造完成,开始进入产能布建。同时,12 纳米的工艺开发也取得突破,目前已经进入客户导入阶段。

从 14 纳米技术的量产时间上看,台积电、联电、格罗方德、英特尔、三星均领先于中芯国际。

与联电和格罗方德对比,虽然中芯国际在量产 14 纳米与其有 2-3 年的时间差距,但是格罗方德和联电目前均已退出 14 纳米以下先进制程市场的争夺,转向成熟特色工艺制程。而中芯国际则向 14 纳米以下先进制程不断进发,14 纳米工艺量产在即,因此在制程角度中芯国际已经开始超越联电和格罗方德。从市占率角度来看,中芯国际身兼资金、人才、管理优势,叠加先进工艺的持续导入,未来也将大概率在市场占有率上全面超过联电和格罗方德。

与英特尔和三星对比,中芯国际在量产 14 纳米与其有近 5 年的时间差距,虽然技术上中芯国际还有很长的追赶时间,但是由于英特尔和三星都是 IDM 企业,产能规模有限,虽然三星已经将代工事业部独立出来,但是短期内在市场份额上的角逐上竞争力有限。因此,英特尔和三星不会成为中芯国际最大的竞争对手。

与台积电对比,中芯国际无论在产能上还是制程上都远落后于台积电。我们发现 28 纳米是中芯国际和台积电技术差距的拐点,90 纳米中芯落后台积电 1 年,65 纳米落后两年,40 纳米落后三年,28 纳米整整落后 6 年,技术差距呈增大趋势。28 纳米之后的先进制程,中芯国际和台积电的差距越来越小,14 纳米落后台积电 3.5 年,比原计划提前了半年,10纳米及以下预计落后 3 年。所以在未来先进制程的竞争上,中芯国际和台积电的差距正在逐渐缩小,有望成为仅次于台积电全球第二大纯晶圆代工厂。

4.2 存储芯片:打破日韩垄断,强攻存储市场

存储芯片作为半导体产业链的最大下游,在整个集成电路市场中占比最高。2018 年全球集成电路市场规模约 5000 亿美元,其中 1600 亿美元属于存储芯片市场。随着大数据、云计算、人工智能的发展,整个存储行业将会迎来更大的市场空间。

存储器芯片主要分为易失性存储和非易失性存储。易失性存储指断电以后,存储器内的信息就流失了,例如 DRAM,主要用来做 PC 机内存(如 DDR)和手机内存(如 LPDDR)。非易失性存储指断电以后,存储器内的信息仍然存在,主要是闪存(NAND FLASH 和 NOR FLASH),NOR 主要应用于代码存储介质中,而 NAND 则用于数据存储。在存储芯片整个市场中,DRAM 产品占比最高约 53%,NAND Flash 产品占比约 42%,Nor Flash 占比仅有3%左右。

存储芯片市场集中度高,无论是 DRAM,还是 NAND Flash、Nor Flash 都呈现寡头垄断格局。根据 statista 数据,2018 年全球 DRAM 市场规模约 996.6 亿美元,主要由三星、海力士、美光三足鼎立,其中三星一家独占 43.9%,海力士占 29.5%,美光占 22.5%。三家市场份额合计就达到92.6%。NAND市场也呈现多头垄断格局,全球市场规模约 634亿美元,主要由三星、海力士、美光、东芝、西部数据五家瓜分,三星依旧占据最大份额约 35%,东芝、西部数据、美光、海力士依次排名其后,分别为 19.2%,14.9%,12.9%,10.6%。

目前,国内已经有两家企业对存储行业发起了冲锋,分别是长江存储和合肥长鑫。

长江存储由紫光集团联合集成电路基金、湖北省科投等于 2016 年在武汉注册成立,目前为清华紫光集团的子公司,同时整合了已成立 10 年的武汉新芯。

……

温馨提示:如需原文档,可在PC端登陆未来智库www.vzkoo.com搜索下载本报告。

(报告来源:西南证券;分析师:刘言/陈杭)

全部讨论

粗茶淡饭也幸福2019-08-29 19:36

$江丰电子(SZ300666)$ 全面、详实,转发、收藏。