『行业研究』半导体:(四十二)制造设备之薄膜沉积设备

发布于: 雪球转发:0回复:0喜欢:2

本文全文共 6447 字,阅读全文约需 16 分钟

薄膜沉积是晶圆制造的三大核心步骤之一,薄膜的技术参数直接影响芯片性能。半导体器件的不断缩小对薄膜沉积工艺提出了更高要求,而 ALD 技术凭借沉积薄膜厚度的高度可控性、优异的均匀性和三维保形性,在半导体先进制程应用领域彰显优势。

01 薄膜沉积及其设备

薄膜沉积的作用在于制造半导体器件叠层,即在晶圆表面交替堆叠多层薄金属(导电)膜和介电(绝缘)膜,之后再通过重复刻蚀工艺去除多余部分以形成三维结构。此处的“薄膜”是指厚度小于 1 微米、无法通过普通机械加工方法制造出来的“膜”,而将包含所需分子或原子单元的薄膜附着在晶圆表面的过程就是“沉积”。

薄膜沉积是半导体器件制造过程中的一个重要环节,是以各类化学反应源在外加能量(包括热、光、等离子体等)的驱动下激活,将由此形成的原子、离子、活性反应基团等在衬底表面进行吸附,并在适当的位置发生化学反应或聚结,渐渐形成几纳米至几微米不等厚度的金属、介质、或半导体材料薄膜。作为芯片衬底之上的微米或纳米级薄膜,是构成了制作电路的功能材料层。

随着集成电路制造不断向更先进工艺发展,单位面积集成的电路规模不断扩大,芯片内部立体结构日趋复杂,所需要的薄膜层数越来越多,对绝缘介质薄膜、导电金属薄膜的材料种类和性能参数不断提出新的要求。薄膜设备的发展支撑了集成电路制造工艺向更小制程发展。

(一)薄膜沉积设备行业特点:

由于薄膜沉积面对多种不同的材料和工艺,设备种类较多,技术分支较多,因此市场上呈现多家供应商共存的局面,每家供应商都有其擅长的技术领域;

沉积工艺和刻蚀工艺可视为逆过程,并且都会使用等离子体技术,因此沉积和刻蚀技术具备一些技术交集,沉积和刻蚀设备多出自同一批厂商。

(二)薄膜沉积工艺/设备壁垒很高,主要来自:

第一,芯片由不同模块工艺集成,薄膜沉积是大多数模块工艺的关键步骤,薄膜本身在不同模块/器件中的性能要求繁多且差异化明显;

第二,薄膜沉积工艺需要满足不同薄膜性能要求,新材料出现或器件结构的改变要求不断研发新的工艺或设备;

第三,更严格的热预算要求更低温的生长工艺,薄膜性能不断提升要求设备具备更好集成度,另外,沉积过程还要考虑沉积速率、环境污染等指标。

(三)评价薄膜性能指标包括均匀度、厚度、台阶覆盖率、成膜速率等,同时还要考虑反射率、颗粒情况等。

1)良好的台阶覆盖能力。台阶覆盖能力指在硅片表面各个方向上厚度一致,实际工艺中,容易在尖角处以及沿着垂直侧壁到底部的方向出现厚度不均的情况,造成台阶底部断裂;

2)填充高深宽比间隙的能力。深宽比被定义为间隙的深度和宽度的比值,典型的高深宽比是金属层之间介质中的通孔,难于形成厚度均匀的膜,并且容易产生夹断和空洞,降低芯片可靠性和良率;

3)良好的厚度均匀性。要求硅片表面各处薄膜厚度一致,材料的电阻会随薄膜厚度的变化而变化,但是膜层越薄,膜本身机械强度降低等;

4)高纯度和高密度。需要避免沾污物和颗粒,要求洁净的薄膜沉积过程和高纯度的材料;膜密度表示膜层中针孔和空洞的密度,反映薄膜致密性;

5)高度的结构完整性和低的膜应力。沉积中要控制晶粒的尺寸,同时确保沉积的薄膜较薄,防止薄膜间的应力导致硅片衬底变形、开裂、分层等;

6)对衬底材料或者下层薄膜保持良好的粘附性。粘附性为了避免薄膜分层和开裂,防止因开裂导致杂质的进入。粘附性主要由表面洁净程度、薄膜及合金的材料等决定。

设备更多考虑工艺稳定性,未来发展趋势是低温反应、高集成度等。

①工艺稳定性:评价薄膜性能除了均匀度、厚度、台阶覆盖率、成膜速率等之外,还要考虑反射率、颗粒情况等。薄膜沉积设备首先要关注工艺稳定性,要保证设备在同一高水准下生产,同时设备开机率保持高位,例如 AMAT 等海外巨头的 CVD 设备开机率高达 90%以上(即工作寿命内一年仅有 10%的时间停机检修),同时在各个腔体间的匹配度保持一致;对于国内设备厂商来说,由于国内产线大多仍使用海外设备,因此国内设备厂在还要考虑各个维度上和国际设备厂商设备进行匹配,才能达到量产的标准;

②未来薄膜设备趋向于低温反应与更高集成度:薄膜越来越严格的热预算限制要求更低温的薄膜生长工艺;同时,为了更好控制不同薄膜的生长,设备平台的系统集成度会更高,例如金属互连层的制备需要将不同的工艺腔室集成在一个平台上,对设备平台自动化控制等提出更高要求,例如通过多反应腔室沉积不同材料,通过冷却腔冷却加工后的硅片,实现不同薄膜的连续沉积;三维器件结构要求薄膜具备更好的台阶覆盖率、更强的沟槽填充能力和更精确的膜厚度控制等。

02 薄膜沉积设备分类

薄膜沉积技术可以分为化学气相沉积(CVD)和物理气相沉积(PVD),此外还会少量使用电镀、蒸发等其他工艺。近年来还出现了较为先进的原子层沉积(ALD),用于精细度要求较高的沉积。

根据工艺特性的不同,CVD 还可以分为 APCVD(常压 CVD)、SACVD(亚常压 CVD)、LPCVD(低压 CVD)、PECVD(等离子体增强 CVD)等,ALD 也算 CVD 技术的分支。

1)PVD:是指是用物理的方法使镀膜材料气化,在基体表面沉积成膜的方法,主要有蒸镀、溅射和离子镀等。特点是沉积材料纯度佳、品质稳定、温度低、速度快、制造成本较低。主要用于金属薄膜的沉积,而不适用制备绝缘体薄膜,原因在于当正离子轰击绝缘体靶材表面时,会把动能传递给靶面,但正离子本身却留在了靶材表面聚集,这些正离子产生的电荷产生的电场会对射向靶材表面的离子产生排斥,从而迫使溅射过程停止。

①其中,蒸镀是在真空环境中把蒸镀材料加热熔化后蒸发,使其大量原子、分子、原子团离开熔体表面,凝结在硅片表面上形成镀膜,该方法优点在于工艺简单、操作容易,所以制备的薄膜纯度较高,生长机理简单,但是形成的薄膜台阶覆盖率和粘附能力都较差,所以热蒸发法只限于早期的中小规模集成电路制造。目前主流 IC 工艺已不再用此类设备进行薄膜沉积,有时仍然被应用于芯片封装过程。随着产业向超大规模和极大规模集成电路发展,溅射技术迅速取代了蒸镀技术。

针对真空蒸镀方法改进的电子束蒸镀可以实现超大规模集成电路(ULSI)上的金属薄膜等沉积。电子束蒸镀工艺的优点是蒸发速度快、无污染、可精确控制膜厚等,可以实现 ULSI 上的金属薄膜沉积,但是在 ULSI 工艺中的通孔、接触孔等,使用电子束蒸发无法进行孔内的金属覆盖。

②溅射是用高能粒子(通常是由电场加速的正离子)冲击固体表面,固体表面的原子、分子与这些高能粒子交换动能,从而由固体表面飞溅出来,飞溅出来的原子及其他离子在随后过程中沉积凝聚在工件表面形成薄膜镀层,称为溅射镀膜。离子镀是在真空条件下,利用气体放电使气体或被蒸发物质离子化,在气体离子或蒸发物质离子轰击作用下,把蒸发物质或其反应物蒸镀在工件上。

2)CVD:是指在真空高温条件下,两种或两种以上的气态原材料导入到一个反应室内,气态原材料相互之间发生化学反应,形成一种新的材料,沉积到晶片表面上。特点是用途广泛、不需要高真空、设备简单、可控性和重复性好、适合大批量生产。主要用于介质/绝缘材料薄膜的生长。

常压化学气相沉积(APCVD)是最早的 CVD 设备,结构简单、沉积速率高,至今仍广泛应用于工业生产中。低压化学气相沉积(LPCVD)是在 APCAD 的基础上发展起来的,由于其工作压力大大降低,薄膜的均匀性和沟槽覆盖填充能力有所改善,相比APCVD 的应用更为广泛。在 IC 制造技术从亚微米发展到 90nm 的过程中,等离子体增强化学气相沉积设备(PECVD)扮演了重要的角色,由于等离子体的作用,化学反应温度明显降低,薄膜纯度得到提高,密度得以加强。到 90nm 技术时代,为改善 PECVD 薄膜的致密性、沟槽填充能力以及生长速率,引入了高密度等离子体增强化学气相沉积(HDPCVD)设备。随着集成电路技术发展到 28nm 以下,HDPCVD 已无法满足 FinFET 器件结构对隔离沟槽填充技术的要求,流体化学气相沉积技术(FCVD)应运而生,其可完成对细小沟槽及孔隙的无缝填充,并满足 10nm 以及 7nm 技术节点的工艺要求。CVD 不仅可以用于绝缘薄膜和半导体材料的沉积,还可用于金属薄膜的沉积,由于 CVD 具有优良的等角台阶覆盖以及对高深宽比接触和通孔无间隙的填充,在金属薄膜沉积方面的应用正在增加。

3)ALD:ALD 是一种可以将物质以单原子膜形式一层一层的镀在基底表面的方法,是一种原子尺度的薄膜制备技术,本质属于 CVD 的一种,但是与传统 CVD(化学蒸气不断地通入真空室内,因此沉积过程是连续的)不同,ALD 是交替脉冲式地将气相反应前驱体通入到生长室中,使其交替在衬底表面被吸附并发生反应。特点是可以沉积均匀一致,厚度可控、成分可调的超薄薄膜,ALD 方法既可以沉积介质/绝缘薄膜,也可以进行金属薄膜的沉积。

随着纳米技术和半导体微电子技术的发展,器件和材料的尺寸要求不断地降低,同时器件结构中的宽深比不断增加,这样就要求所使用材料的厚度降低至十几纳米到几个纳米数量级。相对于传统的沉积工艺,ALD 技术具有优异的台阶覆盖性、均匀性和一致性,可沉积宽深比达 2000:1的结构,因此逐渐成为了相关制造领域不可替代的技术,具有很大发展潜力和应用空间。

一个完整的 ALD 周期可分为 4 个步骤:

(1)首先将第一种前驱体A以脉冲形式注入反应腔中,使得基材(一般是晶圆)表面皆吸附上前驱体,此反应具有自限制性的特性,一旦晶圆全区域被覆盖,吸附会随即停止,过剩的前驱体不会再进行反应;

(2)待表面吸附饱和后,通入惰性气体将剩余的反应前驱体和副产物带出反应腔;

(3)将第二种前驱体B也以脉冲形式注入并吸附基材表面,和第一层的表面附着物A发生化学反应,进而生成所需的薄膜材料,此反应也是自限制的,一但前驱体耗尽,反应将立即停止,因此控制性较高;

(4)待反应完成后,再次通入惰性气体将多余的反应前驱体和副产物带出反应腔。

通常一个周期需要 0.5 秒到几秒,生长的薄膜厚度大约为 0.01~0.3nm,不断重复循环这 4 个步骤即可完成整个 ALD 沉积过程。

ALD 沉积速率较慢,无法实现半导体领域大规模薄膜沉积,因此目前无法在成熟制程领域替代 LPCVD/PECVD 等方法。传统 CVD 工艺中,化学气体不断通入真空室内,因此沉积过程是连续的,而在 ALD 工艺过程中,则是将不同的反应前驱物以气体脉冲的形式交替送入反应室中,并非一个连续的过程。ALD 将物质以单原子层的形式一层一层沉积在基底表面,每镀膜一次/层为一个原子层,镀膜 10 次/层约为 1nm,因此 ALD 的缺点在于沉积速率较慢,不适合大规模生产,在 45nm 以上等成熟制程中相较 LPCVD/PECVD 工艺仍不具备成本或者沉积速率优势。

从研发的角度来看,尽管原理上有一定的相似性,但半导体设备是软件、硬件和工艺控制的综合系统,因此各细分设备之间仅有部分零部件、软件可以通用,核心工艺部分差别较大,各类工艺控制技术的复用性不会太强,因此薄膜沉积设备市场玩家格局会更加稳定,且完全掌握某种设备的供应商有望长期保持竞争优势。

03 薄膜沉积设备市场规模及竞争格局

根据 Maximize Market Research 数据,全球薄膜沉积设备整体规模稳定增长,2020 年市场规模为 172 亿美元,受益于 Foundry 厂、存储、AMOLED 以及太阳能电站等需求的增加,预计到 2025 年将达到 340 亿美元。分类型来看,CVD 设备应用最广,占比 57%;其次是PVD,占比为 25%。其中,PECVD是薄膜设备中占比最高的设备类型,占整体薄膜沉积设备市场的 33%;ALD 设备目前占据薄膜沉积设备市场的 11%;SACVD 是新兴的设备类型,属于其他薄膜沉积设备类目下的产品,占比较小。

由于不同沉积设备技术差异较大,在子类别中存在明显的市场格局的差异。从各类设备来看,全球 CVD 设备市场中,应用材料占比 30%,泛林半导体占比 21%,东京电子占比 19%,三大厂商占据了全球 70%的市场份额;全球 PVD 设备市场中,应用材料占比 85%,基本垄断,处于绝对龙头地位;全球 ALD 设备市场中,东京电子占比 31% ,先域占比 29%,合计占比 60%。

中国整个薄膜沉积设备领域 98%以来进口,国产化率仅有 2%,未来替代空间巨大,国内厂商中,北方华创拓荆科技处于领先地位,北方华创 CVD、PVD等相关设备已具备 28nm 工艺水平,14/10/7nm 等先进制程正处于研发与验证阶段。拓荆科技 CVD 和 ALD 相关设备已广泛应用于国内晶圆厂 14nm 及以上制程集成电路制造产线,并已展开 10nm 及以下制程产品验证测试。

北方华创:在沉积领域 PVD 技术最强,可实现对应用材料设备的部分替代,产品批量供应一线厂商。CVD 领域拥有 LPCVD、APCVD 等技术,主要是 8 英寸以下设备。ALD 设备也有开发,并实现少量供货。

拓荆科技以 CVD 技术为主,其中 PECVD 开发较早也较为成熟,可实现对国外厂商的部分替代,产品进入中芯国际、华虹、长江存储等一线厂商,产品已广泛应用于国内14nm以上晶圆制造产线。SACVD 和 ALD 机台已经开发出并少量供货。

中微公司:2022年新的针对Mini LED市场的MOCVD将实现0-1放量,W LPCVD研发也取得突出进展。

盛美上海:前道大马士革ECD设备已实现批量订单;SiN LPCVD客户端进行量产认证,未来有望放量赋能。

薄膜沉积设备同样是决定关键制程的设备,未来市场空间增量较大。

薄膜沉积类似于刻蚀的逆过程,由于同样应用等离子技术等,沉积和刻蚀技术存在一定关联性,因此应用材料、泛林和东京电子三大厂家在刻蚀和薄膜沉积设备领域都具备垄断地位。我国企业北方华创同时推进刻蚀和沉积设备,拓荆科技则有刻蚀机厂商中微公司的加持,因此薄膜沉积是我国企业有望下一个取得重要突破的核心设备。

04 薄膜沉积设备前景

芯片工艺进步及结构复杂化提高薄膜设备需求。在晶圆制造过程中,薄膜起到产生导电层或绝缘层、阻挡污染物和杂质渗透、提高吸光率、临时阻挡刻蚀等重要作用。随着集成电路的持续发展,晶圆制造工艺不断走向精密化,芯片结构的复杂度也不断提高,需要在更微小的线宽上制造。制造商要求制备的薄膜品种随之增加,最终用户对薄膜性能的要求也日益提高。这一趋势对薄膜沉积设备产生了更高的技术要求,市场对于高性能薄膜设备的依赖逐渐增加。

产线升级,薄膜设备需求陡增。随着集成电路的持续发展,产线逐渐升级,晶圆厂对薄膜沉积设备数量和性能的需求将继续随之提升。越先进制程的产线所需的薄膜沉积设备数量越多。先进制程使得晶圆制造的复杂度和工序量都大大提升,为保证产能,产线上需要更多的设备。

线宽微缩+结构3D化,催生成倍需求:

逻辑芯片制造是个极其复杂的工艺,工艺制程的不断缩小尤其是在22nm以下,所需制造设备精准度大幅提升,随之设备成本也会越来越高。例如晶圆产线的建设成本在90nm制程时为20亿美元,到20nm时成本达到67亿美元,再到目前较为先进的5nm制程水平,一条生产线的建设成本将达到160亿美元,产线成本提升的主要原因就是设备投资,目前设备投资占总投资60%,未来有望提升至80%以上。

目前,逻辑芯片制造主要使用的设备为SACVD、PECVD设备和PVD设备。越先进制程产线所需的薄膜沉积设备数量越多。先进制程使得晶圆制造的复杂度和工序量都大大提升,为保证产能,产线上需要三种设备设备的数量越多。当线宽向7nm及以下制程发展,需要采用多重曝光工艺,薄膜沉积次数显著增加,90nm CMOS工艺大约需要40道薄膜沉积工序,在3nm FinFET工艺产线则需要100道薄膜沉积工序。中芯国际180nm 8寸晶圆产线每万片月产能需要CVD设备及PVD设备分别为9.9台、4.8台,更先进的90nm 12寸晶圆产线每万片月产能需要CVD设备和PVD设备分别为42台、24台。PVD和CVD需求均增长近4-5倍。

存储芯片中NAND 制造工艺从2D向3D转化,3D NAND在2D NAND的基础上,通过垂直于二维平面的堆叠实现在有限的面积内增加存储单元的总体积,进而提高存储密度,从24层到232层3D NAND,每层均需要经过薄膜沉积工艺步骤,催生更多的薄膜沉积设备需求。

层数堆叠驱动ALD设备份额增加。ALD设备相比于CVD和PVD设备,可以实现高深宽比、极窄沟槽开口的优异台阶覆盖率及精确薄膜厚度控制,因此在由2D转为3D堆叠结构的NAND Flash工艺中的需求占比会增加。根据东京电子的披露,在Flash芯片产线的资本开支占比中,2D时代的薄膜沉积设备占比为18%,3D时代的占比为26%。同时,随着层数的不断增加,深宽比进一步增大,需要的ALD设备更多。

#半导体设备# #薄膜沉积# $北方华创(SZ002371)$ $微导纳米(SH688147)$ $中微公司(SH688012)$

风险提示:本内容仅代表破卷研究的分析、推测与判断,登载于此仅出于传递信息之目的,不作为投资具体标的之依据。投资有风险,入市需谨慎!

版权声明:本内容版权归原创方或原作者所有,如转载使用,请注明来源及作者、文内保留标题原题以及文章内容完整性,并自负版权等法律责任。